Design of Low Power Reversible Decoder using GDI Technique

Size: px
Start display at page:

Download "Design of Low Power Reversible Decoder using GDI Technique"

Transcription

1 _ Design of Low Power using GDI Technique 1 Majety Naveen Kumar & 2 A.V.M Manikandan 1,2 Department of Electronics and Communications Engineering, SRM University, Chennai, India 1 naveen.majety@gmail.com, 2 avm.mani@gmail.com Abstract - logic has very important role in recent times and it is used in reducing power consumption in digital logic design. It consumes less power by regaining the bit loss from its unique input output mapping. Diffusion Input (GDI) is a technique of low-power digital circuit design, this technique reduces the power consumption, propagation delay, and area of digital circuits by maintaining the complexity very low of logic design. In this paper, 2 types of reversible decoders using GDI is proposed which can provide active high and low outputs. 1 st type of decoder uses Feynman and Fredkin and 2 nd type of reversible decoder uses HL and Fredkin. The simulations are done in H-Spice using 90nm technology and a comparison has been done between existing reversible decoder using conventional CMOS and proposed reversible decoder using GDI. Keywords logic, CMOS, Digital low power, Delay, GDI,, Feynman, Fredkin, HL. I. INTRODUCTION Today s advancement in level of integration and fabrication process has getting better logic circuits and energy loss has also been dramatically reduced. According to Landauer principle [1], in every logic computation a bit of information loss generates ktln2 joules of heat energy where k is the Boltzmann constant of J/K and T is the absolute temperature of the environment. circuits are basically different from traditional irreversible ones. In reversible logic, no information is lost. Bennett [2] showed that zero energy dissipation would be possible if the network consists of reversible gates only. But the drawback is area will be increased compared to irreversible circuits even though s are much better than conventional CMOS [3]. A reversible circuit should have the following attributes [4]: 1. Garbage output should be as minimum as possible. 2. Number of reversible gate should be as minimum as possible. 3. Input lines that are either 0 or 1, known as constant input, should be as minimum as possible. There are different types of styles logical design like, Pass Logic (PTL): There are two basic problems [5] one is threshold drop across pass transistor results in slower operations of circuits and other problem is that there will be direct static path for power dissipation due to PMOS device in the inverter circuit is not fully turned off. Transmission gate (TG): It solves the low logic level swing problem by using PMOS and NMOS Connected Complementary Pass-transistor Logic (CPL): The CPL suffers from static power consumption due to the low swing at the gates of the output inverters [6]. Double Pass-transistor Logic (DPL): Uses complementary transistors to keep full swing operation and reduce the dc power consumption. One disadvantage of DPL is the large area used due to the presence of pmos transistors. Diffusion Technique (GDI) is a low power technique, which solves the most of problems mentioned above. The GDI approach allows implementation of a wide range of complex logic functions using only two transistors. This method is suitable for design of fast, low-power circuits, using a reduced number of transistors (as compared to CMOS and existing PTL techniques), while improving logic level swing and static power characteristics and allowing simple topdown design by using small cell library. Fig.1. GDI basic cell The GDI method is based on the use of a simple cell as shown in Fig. 1. At first look, the circuit reminds one of the standard CMOS inverter, but there are some important differences. 44

2 _ 1) The GDI cell contains three inputs: G (common gate input of nmos and pmos), P (input to the source/drain of pmos), and N (input to the source/drain of nmos) [7]. 2) Bulks of both nmos and pmos are connected to N or P (respectively), so it can be arbitrarily biased at contrast with a CMOS inverter [7]. II. BACK GROUND AND BASIC CONCEPTS Basic s like AND, OR, XOR designed by using GDI is very useful in designing reversible gates. The major design issue in GDI is we have to choose always a proper /L Ratios and V dd otherwise the output will distorted sometimes output will be wrong due to improper choosing of /L Ratio. 1. AND GATE AND in conventional CMOS has 6 transistors, but by using GDI structure it has only 2 transistors [7]. III. PROPOSED REVERSIBLE GATES USING GDI Proposed gates are FEYNMAN (FG), FREDKIN (), HL. These gates are designed by GDI structure so that there will be a large amount of power, transistors and delay reduction. 1. FEYNMAN GATE (FG) The Feynman which is a 2*2 gate and is also called as Controlled NOT and it is widely used for fan-out [4]. 2. FREDKIN GATE Fig.5. FEYNMAN It is also called Controlled Swap (CSAPG). It is a universal 3*3 gate, which means that any logical or arithmetic operation can be constructed totally by Fredkin gate. The Fredkin is the three bit gate that swaps the last two bits if first bit is 1 [4]. 2. OR GATE Fig.2. AND OR [8] in conventional CMOS has 6 transistors, but by using GDI structure it has only 2 transistors [7]. 3. HL GATE Fig.6. FREDKIN It is 4*4 gate, mainly this gate is used for designing decoders [4]. 3. XOR GATE Fig.3. OR XOR [7] in conventional CMOS has 12 transistors, but by using GDI structure it has only 4 transistors. Fig.7. HL TABLE I: Comparison between s s FG HL Power 0.1 µw 0.9m 9.4m CMOS GDI % Power n m 0.6m Reduction in Power & Area 94.2% & 66.7% 88.8% & 72.3% 92.1% & 58.2% Fig.4. XOR 45

3 _ IV. PROPOSED 2:4 REVERSIBLE DECODER USING GDI TECHNIQUE There are two approaches to design 2:4 reversible decoders. One is by using Feynman gate and Fredkin gate, which produces one garbage output [8] and the other, by using HL gate, which produces no garbage output [8]. Fig 8 and 9 shows the two approaches. Table-II provides the performance comparison of the conventional CMOS and proposed reversible decoder. e can infer that GDI based reversible decoder is far better than the conventional CMOS reversible decoder in terms of power, no. of. transistors and delay. Fig.8.Proposed 2:4 using Approach-1 Fig.9. Proposed 2:4 using Approach-II Fig.11. Simulated waveform of proposed 2:4 approach- II TABLE II: COMPARISON BETEEN TO DIFFERENT TYPES OF 2:4 REVERSIBLE DECODERS BY USING DIFFERENT TECHNIQUES Logic Style CMOS Parameters 2:4 Using FG & 2:4 Using HL Power 3.2 m 9.41 m GDI Power 0.43 m 0.74 m % Reduction in Power 86.5% 92.1% % Reduction in no. of s 64.2% 58% Garbage Outputs 1 0 By using GDI based reversible decoder, the percentage of power reduction is about 90% due to no. of transitions in the circuit greatly reduced and no. of transistors reduction is about 60%. So the design complexity and critical path from input to output is greatly reduced by using GDI technique. Fig.10. Simulated waveform of proposed 2:4 approach-i 46

4 _ V. PROPOSED 3:8 REVERSIBLE DECODER USING GDI TECHNIQUE The proposed 3:8 GDI reversible decoder uses the proposed 2:4 GDI reversible decoder and cascade it with four Fredkin gates. This decoder can be easily generalized to m:2 m reversible decoder [9]. There are two approaches for the proposed design as shown in Fig 12 and 13. The 1 st approach of the proposed 3:8 GDI reversible decoder produces 2 garbage outputs [8], but the 2 nd approach produce, only 1 garbage output [8]. Fig.14. Simulated waveform of proposed 3:8 approach-i Fig.12. Proposed 3:8 using approach I Fig.13. Proposed 3:8 using approach II The comparison between proposed and existing 3:8 reversible decoder, is given in table III. The proposed GDI based reversible decoder is far better than conventional CMOS decoder, with respect to parameters like Power, s and Delay. Delay will be reduced by using GDI Technique because the critical path from input to output is reduced. Fig.15. Simulated waveform of proposed 3:8 approach- II TABLE III: COMPARISON OF DIFFERENT 3:8 REVERSIBLE DECODERS BY USING DIFFERENT TECHNIQUES Logic Style CMOS Parameters 3:8 Using FG & 3:8 Using HL Power 6.36 m 10.9 m

5 _ GDI Power 1.13 m 1.5 m % Reduction of Power 82.2% 83.48% % Reduction of No. of s 64.1% 61.4% Garbage Values 2 1 The proposed GDI based 3:8 s about 80% of power reduction and about 65% of transistor count reduction as compared to the conventional decoder. Thus the proposed design greatly improves over existing design in terms of power and no. of transistors. VI. CONCLUSION of size 2:4 is presented in this paper and is extended to 3:8. This 3:8 can be generalized to m:2 m. The proposed reversible decoders are implemented by using GDI technique. Comparisons between conventional CMOS and proposed decoders were carried out in table-ii and table-iii, showing that more than 80% of power had been reduced using proposed Technique and 60-65% of transistor count reduction has been obtained by using proposed technique. The proposed approach-i and approach-ii is efficient in power reduction and in transistor reduction. VII. REFERENCES [1] R. Landauer, Irreversibility and heat generation in the computational process, IBM Journal of Research and Development 3 (1961) [2] C.H. Bennett, Logical reversibility of computation, IBM Journal of Research and Development (November) (1973) [3] B.Raghu kanth, B.Murali Krishna, M. Sridhar, V.G. Santhi Swaroop, A distinguish between reversible and conventional logic gates, International Journal of Engineering Research and Applications (IJERA) ISSN: Vol. 2, Issue 2,Mar-Apr 2012, pp [4] Raghava Garipelly, P.Madhu Kiran, A.Santhos Kumar, A Review on Logic s and their Implementation International Journal of Emerging Technology and Advanced Engineering, ISSN , ISO 9001:2008 Certified Journal, Volume 3, Issue 3, March [5]. Al-Assadi, A. P. Jayasumana, and Y. K. Malaiya, Pass-transistor logic design, Int. J. Electron., vol. 70, pp , [6] Arkadiy Morgenshtein, Alexander Fish, and Israel A. agner, -Diffusion Input (GDI) A Technique for Low Power Design of Digital Circuts: Analysis and Characterization IEEE transactions on very large scale integration 2002 [7] Arkadiy Morgenshtein, Alexander Fish, and Israel A. agner, -Diffusion Input (GDI): A Power-Efficient Method for Digital Combinatorial Circuits IEEE transactions on Very Large Scale Integration (VLSI) systems, vol. 10, no. 5, october [8] Lafifa Jamal, Md. Masbaul Alam, Hafiz Md. Hasan Babu, An efficient approach to design a reversible control unit of a processor Sustainable Computing: Informatics and Systems 3 (2013) [9] Neeta Pandey, Nalin Dadhich, Mohd. Zubair Talha, Realization of 2:4 reversible decoder and its applications IEEE 2014 International Conference on Signal Processing and Integrated Networks (SPIN). 48

A Beginning in the Reversible Logic Synthesis of Sequential Circuits

A Beginning in the Reversible Logic Synthesis of Sequential Circuits A Beginning in the Reversible Logic Synthesis of Sequential s Himanshu Thapliyal and M.B Srinivas Centre for VLSI and Embedded System Technologies International Institute of Information Technology, Hyderabad,

More information

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits A New Reversible TSG Gate and Its Application For Designing Efficient Adder s Himanshu Thapliyal Center for VLSI and Embedded System Technologies International Institute of Information Technology Hyderabad-500019,

More information

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION American Journal of Applied Sciences 11 (1): 69-73, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.69.73 Published Online 11 (1) 2014 (http://www.thescipub.com/ajas.toc) AN IMPROVED

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

COMPARATIVE ANALYSIS OF 4-BIT AND 8-BIT REVERSIBLE BARREL SHIFTER DESIGNS USING REVKIT

COMPARATIVE ANALYSIS OF 4-BIT AND 8-BIT REVERSIBLE BARREL SHIFTER DESIGNS USING REVKIT COMPARATIVE ANALYSIS OF 4-BIT AND 8-BIT REVERSIBLE BARREL SHIFTER DESIGNS USING REVKIT Hariprasad R. 1, Ganesh R. 1, Ravi S. 2, Marimuthu R. 1 and Balamurugan S. 1 1 School of Electrical Engineering, VIT

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

An Extension to DNA Based Fredkin Gate Circuits: Design of Reversible Sequential Circuits using Fredkin Gates

An Extension to DNA Based Fredkin Gate Circuits: Design of Reversible Sequential Circuits using Fredkin Gates An Extension to DNA Based Fredkin Gate Circuits: Design of Reversible Sequential Circuits using Fredkin Gates Himanshu Thapliyal and M.B Srinivas (thapliyalhimanshu@yahoo.com, srinivas@iiit.net) Center

More information

HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER

HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER Sachin Kumar *1, Aman Kumar #2, Puneet Bansal #3 * Department of Electronic Science, Kurukshetra University, Kurukshetra, Haryana, India # University Institute

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

A New Low Power Dynamic Full Adder Cell Based on Majority Function

A New Low Power Dynamic Full Adder Cell Based on Majority Function World Applied Sciences Journal 4 (1): 133-141, 2008 ISSN 1818-4952 IDOSI Publications, 2008 A New Low Power Dynamic Full Adder Cell Based on Majority Function 1 Vahid Foroutan, 2 Keivan Navi and 1 Majid

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy, Hiranmay Saha Abstract The paper proposes the novel design of a 3T

More information

FEASIBLE METHODOLOGY FOR OPTIMIZATION OF A NOVEL REVERSIBLE BINARY COMPRESSOR

FEASIBLE METHODOLOGY FOR OPTIMIZATION OF A NOVEL REVERSIBLE BINARY COMPRESSOR FEASIBLE METHODOLOGY FOR OPTIMIZATION OF A NOVEL REVERSIBLE BINARY COMPRESSOR ABSTRACT Neeraj Kumar Misra, Mukesh Kumar Kushwaha, Subodh Wairya and Amit Kumar Department of Electronics Engineering, Institute

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC B. Dilli kumar 1, K. Charan kumar 1, M. Bharathi 2 Abstract- The efficiency of a system mainly depends on the performance of the internal

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

High Speed Gate Level Synchronous Full Adder Designs

High Speed Gate Level Synchronous Full Adder Designs High Speed Gate Level Synchronous Full Adder Designs PADMANABHAN BALASUBRAMANIAN and NIKOS E. MASTORAKIS School of Computer Science, The University of Manchester, Oxford Road, Manchester M13 9PL, UNITED

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Veepsa Bhatia Indira Gandhi Delhi Technical University for Women Delhi, India Neeta Pandey Delhi

More information

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2)

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2) Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 6 (2013), pp. 683-690 Research India Publications http://www.ripublication.com/aeee.htm Implementation of Modified Booth

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP Anurag #1, Gurmohan Singh #2, V. Sulochana #3 # Centre for Development of Advanced Computing, Mohali, India 1 anuragece09@gmail.com 2 gurmohan@cdac.in

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

Lecture 5: Logical Effort

Lecture 5: Logical Effort Introduction to CMOS VLSI Design Lecture 5: Logical Effort David Harris Harvey Mudd College Spring 2004 Outline Introduction Delay in a Logic Gate Multistage Logic Networks Choosing the Best Number of

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction Introduction Gates & Boolean lgebra Boolean algebra: named after mathematician George Boole (85 864). 2-valued algebra. digital circuit can have one of 2 values. Signal between and volt =, between 4 and

More information

Automated Switching Mechanism for Multi-Standard RFID Transponder

Automated Switching Mechanism for Multi-Standard RFID Transponder Automated Switching Mechanism for Multi-Standard RFID Transponder Teh Kim Ting and Khaw Mei Kum Faculty of Engineering Multimedia University Cyberjaya, Malaysia mkkhaw@mmu.edu.my Abstract This paper presents

More information

ECE124 Digital Circuits and Systems Page 1

ECE124 Digital Circuits and Systems Page 1 ECE124 Digital Circuits and Systems Page 1 Chip level timing Have discussed some issues related to timing analysis. Talked briefly about longest combinational path for a combinational circuit. Talked briefly

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay Logic Gate Delay Chip designers need to choose: What is the best circuit topology for a function? How many stages of logic produce least delay? How wide transistors should be? Logical Effort Helps make

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

ASYNCHRONOUS COUNTERS

ASYNCHRONOUS COUNTERS LB no.. SYNCHONOUS COUNTES. Introduction Counters are sequential logic circuits that counts the pulses applied at their clock input. They usually have 4 bits, delivering at the outputs the corresponding

More information

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers Mehta Shantanu Sheetal #1, Vigneswaran T. #2 # School of Electronics Engineering, VIT University Chennai, Tamil Nadu,

More information

HCF4028B BCD TO DECIMAL DECODER

HCF4028B BCD TO DECIMAL DECODER BCD TO DECIMAL DECODER BCD TO DECIMAL DECODING OR BINARY TO OCTAL DECODING HIGH DECODED OUTPUT DRIVE CAPABILITY "POSITIVE LOGIC" INPUTS AND OUTPUTS: DECODED OUTPUTS GO HIGH ON SELECTION MEDIUM SPEED OPERATION

More information

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1 is on our web page Also Chapter 4 in our textbook

More information

Sigma- Delta Modulator Simulation and Analysis using MatLab

Sigma- Delta Modulator Simulation and Analysis using MatLab Computer and Information Science; Vol. 5, No. 5; 2012 ISSN 1913-8989 E-ISSN 1913-8997 Published by Canadian Center of Science and Education Sigma- Delta Modulator Simulation and Analysis using MatLab Thuneibat

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

A Survey on Sequential Elements for Low Power Clocking System

A Survey on Sequential Elements for Low Power Clocking System Journal of Computer Applications ISSN: 0974 1925, Volume-5, Issue EICA2012-3, February 10, 2012 A Survey on Sequential Elements for Low Power Clocking System Bhuvana S ECE Department, Avinashilingam University

More information

CSE140 Homework #7 - Solution

CSE140 Homework #7 - Solution CSE140 Spring2013 CSE140 Homework #7 - Solution You must SHOW ALL STEPS for obtaining the solution. Reporting the correct answer, without showing the work performed at each step will result in getting

More information

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Shubhara Yewale * and R. S. Gamad ** * (Department of Electronics & Instrumentation

More information

Performance of Flip-Flop Using 22nm CMOS Technology

Performance of Flip-Flop Using 22nm CMOS Technology Performance of Flip-Flop Using 22nm CMOS Technology K.Rajasri 1, A.Bharathi 2, M.Manikandan 3 M.E, Applied Electronics, IFET College of Engineering, Villupuram, India 1, 2 Assistant Professor, Department

More information

CMOS Logic Integrated Circuits

CMOS Logic Integrated Circuits CMOS Logic Integrated Circuits Introduction CMOS Inverter Parameters of CMOS circuits Circuits for protection Output stage for CMOS circuits Buffering circuits Introduction Symetrical and complementary

More information

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251 Gates J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, T 77251 1. The Evolution of Electronic Digital Devices...1 2. Logical Operations and the Behavior of Gates...2

More information

Class 11: Transmission Gates, Latches

Class 11: Transmission Gates, Latches Topics: 1. Intro 2. Transmission Gate Logic Design 3. X-Gate 2-to-1 MUX 4. X-Gate XOR 5. X-Gate 8-to-1 MUX 6. X-Gate Logic Latch 7. Voltage Drop of n-ch X-Gates 8. n-ch Pass Transistors vs. CMOS X-Gates

More information

Modified Cascaded Five Level Multilevel Inverter Using Hybrid Pulse Width Modulation

Modified Cascaded Five Level Multilevel Inverter Using Hybrid Pulse Width Modulation International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Special Issue-2, April 2016 E-ISSN: 2347-2693 Modified Cascaded Five Level Multilevel Inverter Using Hybrid

More information

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135)

Use and Application of Output Limiting Amplifiers (HFA1115, HFA1130, HFA1135) Use and Application of Output Limiting Amplifiers (HFA111, HFA110, HFA11) Application Note November 1996 AN96 Introduction Amplifiers with internal voltage clamps, also known as limiting amplifiers, have

More information

Two-Phase Clocking Scheme for Low-Power and High- Speed VLSI

Two-Phase Clocking Scheme for Low-Power and High- Speed VLSI International Journal of Advances in Engineering Science and Technology 225 www.sestindia.org/volume-ijaest/ and www.ijaestonline.com ISSN: 2319-1120 Two-Phase Clocking Scheme for Low-Power and High- Speed

More information

CMOS Power Consumption and C pd Calculation

CMOS Power Consumption and C pd Calculation CMOS Power Consumption and C pd Calculation SCAA035B June 1997 1 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor product or

More information

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER 1 KARUNYA CHRISTOBAL LYDIA. S, 2 SHANMUGASUNDARI. A, 3 ANANDHI.Y 1,2,3 Electrical

More information

Fully Differential CMOS Amplifier

Fully Differential CMOS Amplifier ECE 511 Analog Electronics Term Project Fully Differential CMOS Amplifier Saket Vora 6 December 2006 Dr. Kevin Gard NC State University 1 Introduction In this project, a fully differential CMOS operational

More information

An Introduction to the EKV Model and a Comparison of EKV to BSIM

An Introduction to the EKV Model and a Comparison of EKV to BSIM An Introduction to the EKV Model and a Comparison of EKV to BSIM Stephen C. Terry 2. 3.2005 Integrated Circuits & Systems Laboratory 1 Overview Characterizing MOSFET operating regions EKV model fundamentals

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

Interfacing 3V and 5V applications

Interfacing 3V and 5V applications Authors: Tinus van de Wouw (Nijmegen) / Todd Andersen (Albuquerque) 1.0 THE NEED FOR TERFACG BETWEEN 3V AND 5V SYSTEMS Many reasons exist to introduce 3V 1 systems, notably the lower power consumption

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING

LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING LOW POWER DESIGN OF DIGITAL SYSTEMS USING ENERGY RECOVERY CLOCKING AND CLOCK GATING A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of the requirements for

More information

Title : Analog Circuit for Sound Localization Applications

Title : Analog Circuit for Sound Localization Applications Title : Analog Circuit for Sound Localization Applications Author s Name : Saurabh Kumar Tiwary Brett Diamond Andrea Okerholm Contact Author : Saurabh Kumar Tiwary A-51 Amberson Plaza 5030 Center Avenue

More information

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002

Lecture 060 Push-Pull Output Stages (1/11/04) Page 060-1. ECE 6412 - Analog Integrated Circuits and Systems II P.E. Allen - 2002 Lecture 060 PushPull Output Stages (1/11/04) Page 0601 LECTURE 060 PUSHPULL OUTPUT STAGES (READING: GHLM 362384, AH 226229) Objective The objective of this presentation is: Show how to design stages that

More information

Implementation and Design of AES S-Box on FPGA

Implementation and Design of AES S-Box on FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 232-9364, ISSN (Print): 232-9356 Volume 3 Issue ǁ Jan. 25 ǁ PP.9-4 Implementation and Design of AES S-Box on FPGA Chandrasekhar

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

Keywords Quantum logic gates, Quantum computing, Logic gate, Quantum computer

Keywords Quantum logic gates, Quantum computing, Logic gate, Quantum computer Volume 3 Issue 10 October 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com An Introduction

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

System on Chip Design. Michael Nydegger

System on Chip Design. Michael Nydegger Short Questions, 26. February 2015 What is meant by the term n-well process? What does this mean for the n-type MOSFETs in your design? What is the meaning of the threshold voltage (practically)? What

More information

MAS.836 HOW TO BIAS AN OP-AMP

MAS.836 HOW TO BIAS AN OP-AMP MAS.836 HOW TO BIAS AN OP-AMP Op-Amp Circuits: Bias, in an electronic circuit, describes the steady state operating characteristics with no signal being applied. In an op-amp circuit, the operating characteristic

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS

EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS EXPERIMENT 3: TTL AND CMOS CHARACTERISTICS PURPOSE Logic gates are classified not only by their logical functions, but also by their logical families. In any implementation of a digital system, an understanding

More information

HCF4056B BCD TO 7 SEGMENT DECODER /DRIVER WITH STROBED LATCH FUNCTION

HCF4056B BCD TO 7 SEGMENT DECODER /DRIVER WITH STROBED LATCH FUNCTION BCD TO 7 SEGMENT DECODER /DRIVER WITH STROBED LATCH FUNCTION QUIESCENT CURRENT SPECIF. UP TO 20V OPERATION OF LIQUID CRYSTALS WITH CMOS CIRCUITS PROVIDES ULTRA LOW POWER DISPLAY. EQUIVALENT AC OUTPUT DRIVE

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements LFSR BASED COUNTERS BY AVINASH AJANE, B.E A technical report submitted to the Graduate School in partial fulfillment of the requirements for the degree Master of Science in Electrical Engineering New Mexico

More information

New Pulse Width Modulation Technique for Three Phase Induction Motor Drive Umesha K L, Sri Harsha J, Capt. L. Sanjeev Kumar

New Pulse Width Modulation Technique for Three Phase Induction Motor Drive Umesha K L, Sri Harsha J, Capt. L. Sanjeev Kumar New Pulse Width Modulation Technique for Three Phase Induction Motor Drive Umesha K L, Sri Harsha J, Capt. L. Sanjeev Kumar Abstract In this paper, various types of speed control methods for the three

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

ANN Based Fault Classifier and Fault Locator for Double Circuit Transmission Line

ANN Based Fault Classifier and Fault Locator for Double Circuit Transmission Line International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Special Issue-2, April 2016 E-ISSN: 2347-2693 ANN Based Fault Classifier and Fault Locator for Double Circuit

More information

Field-Effect (FET) transistors

Field-Effect (FET) transistors Field-Effect (FET) transistors References: Hayes & Horowitz (pp 142-162 and 244-266), Rizzoni (chapters 8 & 9) In a field-effect transistor (FET), the width of a conducting channel in a semiconductor and,

More information

Programming Logic controllers

Programming Logic controllers Programming Logic controllers Programmable Logic Controller (PLC) is a microprocessor based system that uses programmable memory to store instructions and implement functions such as logic, sequencing,

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS

STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS A Thesis submitted in partial fulfillment of the requirements for the degree of Bachelor of Technology In Electronics and Communication Engineering

More information

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment.

Op-Amp Simulation EE/CS 5720/6720. Read Chapter 5 in Johns & Martin before you begin this assignment. Op-Amp Simulation EE/CS 5720/6720 Read Chapter 5 in Johns & Martin before you begin this assignment. This assignment will take you through the simulation and basic characterization of a simple operational

More information

IEEE. Proof. INCREASING circuit speed is certain to remain the major. Dual-Edge Triggered Storage Elements and Clocking Strategy for Low-Power Systems

IEEE. Proof. INCREASING circuit speed is certain to remain the major. Dual-Edge Triggered Storage Elements and Clocking Strategy for Low-Power Systems TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 5, MAY 2005 1 Dual-Edge Triggered Storage Elements and Clocking Strategy for Low-Power Systems Nikola Nedovic, Member,, and Vojin

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

Application Note AN-940

Application Note AN-940 Application Note AN-940 How P-Channel MOSFETs Can Simplify Your Circuit Table of Contents Page 1. Basic Characteristics of P-Channel HEXFET Power MOSFETs...1 2. Grounded Loads...1 3. Totem Pole Switching

More information

Duobinary Modulation For Optical Systems

Duobinary Modulation For Optical Systems Introduction Duobinary Modulation For Optical Systems Hari Shanar Inphi Corporation Optical systems by and large use NRZ modulation. While NRZ modulation is suitable for long haul systems in which the

More information

Design and Simulation of Soft Switched Converter Fed DC Servo Drive

Design and Simulation of Soft Switched Converter Fed DC Servo Drive International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-1, Issue-5, November 211 Design and Simulation of Soft Switched Converter Fed DC Servo Drive Bal Mukund Sharma, A.

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information