HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER

Size: px
Start display at page:

Download "HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER"

Transcription

1 HIGH SPEED AREA EFFICIENT 1-BIT HYBRID FULL ADDER Sachin Kumar *1, Aman Kumar #2, Puneet Bansal #3 * Department of Electronic Science, Kurukshetra University, Kurukshetra, Haryana, India # University Institute of Engineering and Technology, Kurukshetra University, Kurukshetra, Haryana, India 1 sachin2012.cse@gmail.com, 2 amanx34@gmail.com, 3 puneet4u@gmail.com Abstract- The main requirement of Very Large Scale Integration (VLSI) circuit is to be fast and low energy consumption. So, the analysis is done by optimizing the delay, which results in fast processing and low average energy consumed. In this paper, 1-bit hybrid full adder is designed using Complementary Metal Oxide Semiconductor (CMOS), transmission gate and pass transistor logic. The circuit is implemented on Cadence Virtuoso 6.1 tool in 180-nm technology with 1.8V supply voltage. The delay (14.32ps) is found to be very small with significant reduction in the Power- Delay-Product(0.904fJ). The number of transistors is reduced; resulting in area optimization. The proposed 1-bit hybrid full adder design is found to be very fast as compared to the previous existing full adder circuits. Keywords CMOS,CPL,Hybrid Full Adder,Transmission Gate. I. INTRODUCTION The demand of Very Large Scale Integration (VLSI) and Ultra Large Scale Integration (ULSI) with an reduced delay and energy consumption characteristics is increasing rapidly with the use of battery operated high speed portable devices like mobile phones, netbooks, super computers, etc. [1]. Digital circuits i.e. Combinational and sequential perform a number of arithmetic and logical operations. Addition is one of the most important arithmetic operations which will add two or more numbers. This operation also works as a nucleus for many other important operations like subtraction, multiplication, and address calculation etc. [2] The addition operation is performed by digital device known as adder. In the designing of the complex arithmetic circuits, mainly two types of adders i.e. half adder and full adder are used. The combinational circuit that is used to perform the addition of 2 numbers is known as half adder, and the combinational circuit used for addition of 3 numbers is known as full adder. Full adders affect the overall performance of the complex arithmetic circuits as these are used in the critical paths of circuits. As speed is one of the most important factors in the modern life, designer tries to speed up the system while designing.[3] Therefore, analysis is performed to obtain optimum performance. A. Conventional Half Adder Combinational circuit that performs addition of 2 bits is known as half adder. Half adder is a 2-input and 2-output device. The inputs i.e. the augend and the addend are named as A and B, and the outputs the sum and carry are named as S and C respectively. The truth table of the half adder is shown in the Table 1. Table I. Half Adder Truth Table Inputs Outputs A B S C By using Karnaugh (K) map, the truth table is expressed in the relationship between the input and output functions as described in(1) and (2). S = A B (1) C = AB (2) The circuit diagram of the half adder is shown in Fig. 1. Fig. 1. Half Adder This configuration can generate a carry signal to the next order bit, but it cannot accept a carry from the lower order bit, therefore it is termed as half adder. Whereas in full adder, in addition to the two addends we have a carry input signal, which is generated from the previous lower order bit operation. B. Conventional Full Adder Full adder is a combinational circuit that performs the addition of 3 bits. Full adder is 3-input and 2-output device. Two input

2 variables (A and B) represent the most significant bits to be added and the third input variable (C in ) represent the least significant bit generated from the previous order bit, known as carry input.the outputs are named as sum(s) and carry output (C out ).The three input variables with eight possible combinations and corresponding outputs are shown in Table 2. TABLE II. Full Adder Truth Table INPUTS OUTPUTS A B Cin S Cout others[1].the most basic logic design styles used for designing full adder are complementary metal oxide semiconductor (CMOS)[4,5],Complementary pass-transistor logic (CPL)[6,7] and transmission gate full adder (TGA)[2,8]. The other logic designs that use more than one logic style for their implementation are known as hybrid design. These designs exploit the features of different logic styles to improve the overall performance of the full adder [1]. The proposed adder consists of hybrid design, and known as hybrid full adder. The proposed circuit is represented with the combination of three blocks as shown in Fig. 3. Block 1 is the XNOR blocks that is followed by block 2 and block 3 for generating the output carry (C out ) and sum signal (S) respectively. The output variables sum and carry out are expressed in terms of inputs by using Boolean Expression as shown in(3) and (4). S=A B C in (3) C out = (A B)C in +AB (4) The circuit diagram of the full adder is shown in Fig 2. Fig. 3 Full Adder Block Diagram The circuit diagram of the 1-bit hybrid full adder is shown in Fig.4. Fig. 2. Full Adder Logic Diagram II. PROPOSED FULL ADDER In order to match with the throughput required in modern high performance applications, the operating frequency and circuit complexity of the circuits are improved. On the other hand, with the increasing number of portable electronic devices, the demand of low power building blocks also increases, which makes possible the existence of long lasting battery operated systems [11].With the improvement in speed, reduction in delayand the power consumption of the system is also required [10]. While designing the proposed 1-bit hybrid full adder, power-delay product is considered to be the major factor. The power-delay product relates the amount of energy consumed in execution of an operation [11].The proposed full adder is designed using number of different logic circuits having their own advantages and disadvantages. Multiple logic styles in a circuit tend to favor one performance aspect at the expense of Fig. 4.Proposed Hybrid Full Adder Circuit

3 The blocksare designed effectively to optimize the delay, energy consumption and number of transistors. A. Modified XNOR Block XNOR block is responsible for the large delay in the full adder circuit. So, in the proposed full adder circuit,the XNOR circuit i.e. block 1 is optimized and designed only using three transistors. The modified XNOR block presented here offers very high-speed (with acceptable small increase in power) as compared with other XNOR circuit. Hence, reducing the overall circuit delay [1]. B. Carry Generation Block In the proposed circuit, the carry generation block is similar to existing hybrid full adder circuit as shown in [1].The carry generation block is implemented using an inverter consisting PM2 and NM3 followed by the pair of transmission gates (PM3, NM4 and PM4, NM5) as shown in Fig. 4. The input carry signal (C in ) propagates through a single transmission gate (PM3 and NM4), reducing the overall carry propagation path significantly. The deliberate use of strong transmission gates guarantees further reduction in propagation delay of the carry signal [1]. C. Sum Generation Module Inthe proposed hybrid design, the sum module is also similar to existing hybrid full adder circuit as shown in [1]. Output of Block 1 is applied to block 3 consisting of PMOS transistors (PM5 and PM6) and NMOS transistors (NM6 AND NM7); realizing the second stage XNOR block to implement the complete Sum function. III. OPERATION OF THE PROPOSED FULL ADDER Fig. 3 shows the detailed diagram of the proposed 1-bit hybrid full adder. The proposed adder is designed with the combination of three different logic blocks. The first block is the XNOR circuit having three transistors. The transistor PM1 is permanently ON in the circuit, by applying a Vss voltage at the gate terminal. Inputs A and B are applied to source terminals of the pull down NMOS transistors (NM1 and NM2).These three transistors PM1, NM1 and NM2 constitute the XNOR logic. Output of XNOR block is applied to block 2 and block 3 which are known as the carry generation block and the sum generation block respectively. The inverter (PM2) of block 2 having width (1600nm) prevents the loading effect so that block 2 and block 3 will operate efficiently and act as buffer between the input and output stages. The carry generation block is fed by a buffered inverter. The carry block is made up of two strong transmission gates which are responsible for fast switching in the carry logic thus, minimizing the propagation delay. In the sum generation block, the second stage XNOR circuit is used to generate the sum output. The generation of Cout has also been analysed from the full adder truth table shown in Table II as follows: If, A=B, then Cout=B; else, Cout=Cin. [1] The condition i.e. whether A=B is checked by the XNOR block. If they are same, then either the Cout is equals to the Bor it is equal to Cin. Two strong transmission gates are used in the carry generation block, each for implementing one condition out of two. The lower transmission gate consisting of MP3 and NM4 is used for implementing the first condition i.e. Cout=B and the upper transmission gate consisting of MP4 and NM5 is used for implementing the second condition i.e. Cout=Cin [1]. The transistor dimensions are chosen efficiently to take out the best results. The transistor sizing is shown in Table III. TABLE III. Transistors Size Transistor Width(nm) Length(nm) PM NM1, NM PM NM PM3. PM NM4, NM PM5, PM NM6, NM The simulation waveform of the hybrid 1-full adder is shown in Fig. 5. Fig. 5. SimulationWaveform IV. RESULTS The simulation of the proposed 1-bit hybrid full adder is carried out using 180-nm technology and compared with the other potential adder designs shown in Table IV.The powerdelay product(pdp) also known as energy consumption has been minimized in the proposed circuit with an aim to optimize the number of transistor used and delay of the circuit. The proposed 1-bit hybrid full adder uses only 13 transistors as compared to other full addersas shown in Table IV.Because of huge reduction in propagation delay, the PDP of the

4 proposed hybrid full adder is significantly improved as comparison shown in Table IV. TABLE IV.Comparison Table Design Delay PDP Transistor Ref. (ps) (fj) Count TGA ,8 FA_SR CPL CPL ,7 C-CMOS ,10 HPSC Hybrid Full Adder Hybrid 1-Bit- FA Present Work Present signal and either of the input signals A or B (when A=B). The carry signal path length is also minimized as it propagates through a strong single transmission gate. The comparison between the delays of various full addersis shown in Fig. 7. The comparison between the power-delay products of various full adders is shown in Fig. 6. Fig. 7.Comparison between the delays of various full adders Fig. 6.Comparison between the power-delay products of various full adders. A. Calculation of Average Power Consumption Power consumption is classified in two categories i.e. static power and dynamic power also known as average power consumption[1].dynamic power consumption is the dominant component of the power consumption and it arises because of charging and discharging of the load capacitances. The dynamic or the average power consumption of the proposed 1- bit hybrid full adder is calculated and found to be 63.13µw. Mostly power of the circuit is consumed by the XNOR block. This is because of the PMOS transistor (PM1) which is permanently turned ON and also because of absence of buffer inverter at the input of XNOR block. B. Calculation of Propagation Delay Adder is used asa fundamental computational unit in many systems. Also, the overall speed of the entire system depends mainly upon the adders delay. [1] Thespeed of adder is defined by the path lengths of the input signals, and here it mainly dependsupon the input carry signal. So, the overall speed of the adder depends on the propagation delay of the input carry signal. In the proposed 1-bit hybrid full adder design,in order to reduce the path length of the carry signal, it is generated by controlled transmission of the input carry V. CONCLUSION In this paper, we have represented a new hybrid design of a 1- bit full adder.the simulation was carried out using Cadence Virtuoso 6.1with 180-nm technology. The proposed hybrid 1- bit full adder is compared with the standard design approaches like CMOS, CPL, TGA and other existing full adder designs. The simulation result reflects clearly that the proposed hybrid 1-bit full adder offered reduced Power-Delay-Product (0.904fJ) as compared to the previous results. The number of transistor count has been reduced in the proposed design by using the modified XNOR block, results in a very low delay (14.32ps). The proposed full adder can be used in very high speed device applications with acceptable energy consumption. ACKNOWLEDGMENT The authors would also like to thank the University Institute of Engineering and Technology, Kurukshetra University, Kurukshetra, Haryana, India, for providing the necessary computing facility and tools. REFERENCES [1] Patha Bhattacharyya, Bijoy Kundu, Sovan Ghosh, Vinay Kumar and Anup Dandapat, Performance analysis of a low-power high speed hybrid 1-bit full adder circuit, IEEE Trans. Very Large Scale Integr. (VLSI) Syst. Sep [2] A. M. Shams, T. K. Darwish, and M. A. Bayoumi, Performance analysis of low-power 1-bit CMOS full adder cells, IEEE Trans. Very LargeScale Integr. (VLSI) Syst., vol. 10, no. 1, pp , Feb [3] S. Goel, A. Kumar, and M. A. Bayoumi, Design of robust, energyefficient full adders for deep-submicrometer design using hybrid-cmos logic style, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 12, pp , Dec [4] N. H. E. Weste, D. Harris, and A. Banerjee, CMOS VLSI Design:A Circuits and Systems Perspective, 3rd ed. Delhi, India: PearsonEducation, [5] J. M. Rabaey, A. Chandrakasan, and B. Nikolic, Digital

5 IntegratedCircuits: A Design Perspective, 2nd ed. Delhi, India: Pearson Education,2003. [6] D. Radhakrishnan, Low-voltage low-power CMOS full adder, IEEEProc.-Circuits Devices Syst., vol. 148, no. 1, pp , Feb [7] R. Zimmermann and W. Fichtner, Low-power logic styles: CMOS versus pass-transistor logic, IEEE J. Solid-State Circuits, vol. 32, no. 7, pp , Jul [8] C. H. Chang, J. M. Gu, and M. Zhang, A review of 0.18-μm full adder performances for tree structured arithmetic circuits, IEEE Trans. VeryLarge Scale Integr. (VLSI) Syst., vol. 13, no. 6, pp , Jun [9] M. Zhang, J. Gu, and C.-H. Chang, A novel hybrid pass logic with static CMOS output drive full-adder cell, in Proc. Int. Symp. CircuitsSyst., May 2003, pp [10] K. Navi, M. Maeen, V. Foroutan, S. Timarchi, and O. Kavehei, A novel low-power full-adder cell for low voltage, VLSI J. Integr., vol. 42, no. 4, pp , Sep [11] M. Aguirre-Hernandez and M. Linares-Aranda, CMOS full-adders for energy-efficient arithmetic applications, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 19, no. 4, pp , Apr

A New Low Power Dynamic Full Adder Cell Based on Majority Function

A New Low Power Dynamic Full Adder Cell Based on Majority Function World Applied Sciences Journal 4 (1): 133-141, 2008 ISSN 1818-4952 IDOSI Publications, 2008 A New Low Power Dynamic Full Adder Cell Based on Majority Function 1 Vahid Foroutan, 2 Keivan Navi and 1 Majid

More information

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells

Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Design of Low Power One-Bit Hybrid-CMOS Full Adder Cells Sushil B. Bhaisare 1, Sonalee P. Suryawanshi 2, Sagar P. Soitkar 3 1 Lecturer in Electronics Department, Nagpur University, G.H.R.I.E.T.W. Nagpur,

More information

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC

LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC LOW POWER MULTIPLEXER BASED FULL ADDER USING PASS TRANSISTOR LOGIC B. Dilli kumar 1, K. Charan kumar 1, M. Bharathi 2 Abstract- The efficiency of a system mainly depends on the performance of the internal

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating

Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating Design of Energy Efficient Low Power Full Adder using Supply Voltage Gating S.Nandhini 1, T.G.Dhaarani 2, P.Kokila 3, P.Premkumar 4 Assistant Professor, Dept. of ECE, Nandha Engineering College, Erode,

More information

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell.

NEW adder cells are useful for designing larger circuits despite increase in transistor count by four per cell. CHAPTER 4 THE ADDER The adder is one of the most critical components of a processor, as it is used in the Arithmetic Logic Unit (ALU), in the floating-point unit and for address generation in case of cache

More information

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem

A Novel Low Power, High Speed 14 Transistor CMOS Full Adder Cell with 50% Improvement in Threshold Loss Problem A Novel Low Power, High Speed 4 Transistor CMOS Full Adder Cell with 5% Improvement in Threshold Loss Problem T. Vigneswaran, B. Mukundhan, and P. Subbarami Reddy Abstract Full adders are important components

More information

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates

A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy, Hiranmay Saha Abstract The paper proposes the novel design of a 3T

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

High Speed Gate Level Synchronous Full Adder Designs

High Speed Gate Level Synchronous Full Adder Designs High Speed Gate Level Synchronous Full Adder Designs PADMANABHAN BALASUBRAMANIAN and NIKOS E. MASTORAKIS School of Computer Science, The University of Manchester, Oxford Road, Manchester M13 9PL, UNITED

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS

LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS LOW POWER CMOS FULL ADDER DESIGN WITH 12 TRANSISTORS Manoj Kumar 1, Sandeep K. Arya 1, Sujata Pandey 2 1 Department of Electronics & Communication Engineering Guru Jambheshwar University of Science & Technology,

More information

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique Priyanka Sharma ME (ECE) Student NITTTR Chandigarh Rajesh Mehra Associate Professor Department of ECE NITTTR Chandigarh

More information

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort

Optimization and Comparison of 4-Stage Inverter, 2-i/p NAND Gate, 2-i/p NOR Gate Driving Standard Load By Using Logical Effort Optimization and Comparison of -Stage, -i/p NND Gate, -i/p NOR Gate Driving Standard Load By Using Logical Effort Satyajit nand *, and P.K.Ghosh ** * Mody Institute of Technology & Science/ECE, Lakshmangarh,

More information

Gates, Circuits, and Boolean Algebra

Gates, Circuits, and Boolean Algebra Gates, Circuits, and Boolean Algebra Computers and Electricity A gate is a device that performs a basic operation on electrical signals Gates are combined into circuits to perform more complicated tasks

More information

A Survey on Sequential Elements for Low Power Clocking System

A Survey on Sequential Elements for Low Power Clocking System Journal of Computer Applications ISSN: 0974 1925, Volume-5, Issue EICA2012-3, February 10, 2012 A Survey on Sequential Elements for Low Power Clocking System Bhuvana S ECE Department, Avinashilingam University

More information

10 BIT s Current Mode Pipelined ADC

10 BIT s Current Mode Pipelined ADC 10 BIT s Current Mode Pipelined ADC K.BHARANI VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA kothareddybharani@yahoo.com P.JAYAKRISHNAN VLSI DEPARTMENT VIT UNIVERSITY VELLORE, INDIA pjayakrishnan@vit.ac.in

More information

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators

Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Performance Comparison of an Algorithmic Current- Mode ADC Implemented using Different Current Comparators Veepsa Bhatia Indira Gandhi Delhi Technical University for Women Delhi, India Neeta Pandey Delhi

More information

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576

exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 exclusive-or and Binary Adder R eouven Elbaz reouven@uwaterloo.ca Office room: DC3576 Outline exclusive OR gate (XOR) Definition Properties Examples of Applications Odd Function Parity Generation and Checking

More information

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP

LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP LOW POWER DUAL EDGE - TRIGGERED STATIC D FLIP-FLOP Anurag #1, Gurmohan Singh #2, V. Sulochana #3 # Centre for Development of Advanced Computing, Mohali, India 1 anuragece09@gmail.com 2 gurmohan@cdac.in

More information

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers

High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers High Speed and Efficient 4-Tap FIR Filter Design Using Modified ETA and Multipliers Mehta Shantanu Sheetal #1, Vigneswaran T. #2 # School of Electronics Engineering, VIT University Chennai, Tamil Nadu,

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements

LFSR BASED COUNTERS AVINASH AJANE, B.E. A technical report submitted to the Graduate School. in partial fulfillment of the requirements LFSR BASED COUNTERS BY AVINASH AJANE, B.E A technical report submitted to the Graduate School in partial fulfillment of the requirements for the degree Master of Science in Electrical Engineering New Mexico

More information

Automated Switching Mechanism for Multi-Standard RFID Transponder

Automated Switching Mechanism for Multi-Standard RFID Transponder Automated Switching Mechanism for Multi-Standard RFID Transponder Teh Kim Ting and Khaw Mei Kum Faculty of Engineering Multimedia University Cyberjaya, Malaysia mkkhaw@mmu.edu.my Abstract This paper presents

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction

Gates & Boolean Algebra. Boolean Operators. Combinational Logic. Introduction Introduction Gates & Boolean lgebra Boolean algebra: named after mathematician George Boole (85 864). 2-valued algebra. digital circuit can have one of 2 values. Signal between and volt =, between 4 and

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits

A New Reversible TSG Gate and Its Application For Designing Efficient Adder Circuits A New Reversible TSG Gate and Its Application For Designing Efficient Adder s Himanshu Thapliyal Center for VLSI and Embedded System Technologies International Institute of Information Technology Hyderabad-500019,

More information

Floating Point Fused Add-Subtract and Fused Dot-Product Units

Floating Point Fused Add-Subtract and Fused Dot-Product Units Floating Point Fused Add-Subtract and Fused Dot-Product Units S. Kishor [1], S. P. Prakash [2] PG Scholar (VLSI DESIGN), Department of ECE Bannari Amman Institute of Technology, Sathyamangalam, Tamil Nadu,

More information

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING Course Title VLSI DESIGN Course Code 57035 Regulation R09 COURSE DESCRIPTION Course Structure

More information

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches).

Pass Gate Logic An alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Pass Gate Logic n alternative to implementing complex logic is to realize it using a logic network of pass transistors (switches). Switch Network Regeneration is performed via a buffer. We have already

More information

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1.

1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. File: chap04, Chapter 04 1. True or False? A voltage level in the range 0 to 2 volts is interpreted as a binary 1. 2. True or False? A gate is a device that accepts a single input signal and produces one

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Two-Phase Clocking Scheme for Low-Power and High- Speed VLSI

Two-Phase Clocking Scheme for Low-Power and High- Speed VLSI International Journal of Advances in Engineering Science and Technology 225 www.sestindia.org/volume-ijaest/ and www.ijaestonline.com ISSN: 2319-1120 Two-Phase Clocking Scheme for Low-Power and High- Speed

More information

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER

A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER A MULTILEVEL INVERTER FOR SYNCHRONIZING THE GRID WITH RENEWABLE ENERGY SOURCES BY IMPLEMENTING BATTERY CUM DC-DC CONERTER 1 KARUNYA CHRISTOBAL LYDIA. S, 2 SHANMUGASUNDARI. A, 3 ANANDHI.Y 1,2,3 Electrical

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6

NAME AND SURNAME. TIME: 1 hour 30 minutes 1/6 E.T.S.E.T.B. MSc in ICT FINAL EXAM VLSI Digital Design Spring Course 2005-2006 June 6, 2006 Score publication date: June 19, 2006 Exam review request deadline: June 22, 2006 Academic consultancy: June

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS

STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS STUDY AND ANALYSIS OF DIFFERENT TYPES OF COMPARATORS A Thesis submitted in partial fulfillment of the requirements for the degree of Bachelor of Technology In Electronics and Communication Engineering

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION

AN IMPROVED DESIGN OF REVERSIBLE BINARY TO BINARY CODED DECIMAL CONVERTER FOR BINARY CODED DECIMAL MULTIPLICATION American Journal of Applied Sciences 11 (1): 69-73, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.69.73 Published Online 11 (1) 2014 (http://www.thescipub.com/ajas.toc) AN IMPROVED

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Performance of Flip-Flop Using 22nm CMOS Technology

Performance of Flip-Flop Using 22nm CMOS Technology Performance of Flip-Flop Using 22nm CMOS Technology K.Rajasri 1, A.Bharathi 2, M.Manikandan 3 M.E, Applied Electronics, IFET College of Engineering, Villupuram, India 1, 2 Assistant Professor, Department

More information

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT

4-bit binary full adder with fast carry CIN + (A1 + B1) + 2(A2 + B2) + 4(A3 + B3) + 8(A4 + B4) = = S1 + 2S2 + 4S3 + 8S4 + 16COUT Rev. 03 11 November 2004 Product data sheet 1. General description 2. Features The is a high-speed Si-gate CMOS device and is pin compatible with low power Schottky TTL (LSTTL). The is specified in compliance

More information

Design, Analysis, and Implementation of Solar Power Optimizer for DC Distribution System

Design, Analysis, and Implementation of Solar Power Optimizer for DC Distribution System Design, Analysis, and Implementation of Solar Power Optimizer for DC Distribution System Thatipamula Venkatesh M.Tech, Power System Control and Automation, Department of Electrical & Electronics Engineering,

More information

POWER COMPARISON OF CMOS AND ADIABATIC FULL ADDER CIRCUITS

POWER COMPARISON OF CMOS AND ADIABATIC FULL ADDER CIRCUITS POWER COMPARISON OF CMOS AND ADIABATIC FULL ADDER CIRCUITS Y. Sunil Gavaskar Reddy 1 and V.V.G.S.Rajendra Prasad 2 1 Department of Electronics &Communication Engineering, Anurag Engineering College, JNTUniversity,

More information

CD4008BM CD4008BC 4-Bit Full Adder

CD4008BM CD4008BC 4-Bit Full Adder CD4008BM CD4008BC 4-Bit Full Adder General Description The CD4008B types consist of four full-adder stages with fast look-ahead carry provision from stage to stage Circuitry is included to provide a fast

More information

Modified Cascaded Five Level Multilevel Inverter Using Hybrid Pulse Width Modulation

Modified Cascaded Five Level Multilevel Inverter Using Hybrid Pulse Width Modulation International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Special Issue-2, April 2016 E-ISSN: 2347-2693 Modified Cascaded Five Level Multilevel Inverter Using Hybrid

More information

ANALOG & DIGITAL ELECTRONICS

ANALOG & DIGITAL ELECTRONICS ANALOG & DIGITAL ELECTRONICS Course Instructor: Course No: PH-218 3-1-0-8 Dr. A.P. Vajpeyi E-mail: apvajpeyi@iitg.ernet.in Room No: #305 Department of Physics, Indian Institute of Technology Guwahati,

More information

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2)

Implementation of Modified Booth Algorithm (Radix 4) and its Comparison with Booth Algorithm (Radix-2) Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 6 (2013), pp. 683-690 Research India Publications http://www.ripublication.com/aeee.htm Implementation of Modified Booth

More information

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1

United States Naval Academy Electrical and Computer Engineering Department. EC262 Exam 1 United States Naval Academy Electrical and Computer Engineering Department EC262 Exam 29 September 2. Do a page check now. You should have pages (cover & questions). 2. Read all problems in their entirety.

More information

CSE140: Components and Design Techniques for Digital Systems

CSE140: Components and Design Techniques for Digital Systems CSE4: Components and Design Techniques for Digital Systems Tajana Simunic Rosing What we covered thus far: Number representations Logic gates Boolean algebra Introduction to CMOS HW#2 due, HW#3 assigned

More information

Micro-Step Driving for Stepper Motors: A Case Study

Micro-Step Driving for Stepper Motors: A Case Study Micro-Step Driving for Stepper Motors: A Case Study N. Sedaghati-Mokhtari Graduate Student, School of ECE, University of Tehran, Tehran, Iran n.sedaghati @ece.ut.ac.ir Abstract: In this paper, a case study

More information

DEPARTMENT OF INFORMATION TECHNLOGY

DEPARTMENT OF INFORMATION TECHNLOGY DRONACHARYA GROUP OF INSTITUTIONS, GREATER NOIDA Affiliated to Mahamaya Technical University, Noida Approved by AICTE DEPARTMENT OF INFORMATION TECHNLOGY Lab Manual for Computer Organization Lab ECS-453

More information

Sequential Logic: Clocks, Registers, etc.

Sequential Logic: Clocks, Registers, etc. ENEE 245: igital Circuits & Systems Lab Lab 2 : Clocks, Registers, etc. ENEE 245: igital Circuits and Systems Laboratory Lab 2 Objectives The objectives of this laboratory are the following: To design

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

A true low voltage class-ab current mirror

A true low voltage class-ab current mirror A true low voltage class-ab current mirror A. Torralba, 1a) R. G. Carvajal, 1 M. Jiménez, 1 F. Muñoz, 1 and J. Ramírez-Angulo 2 1 Departamento de Ingeniería Electrónica, Escuela Superior de Ingenieros,

More information

High Performance Low Power Dual Edge Triggered Static D Flip-Flop

High Performance Low Power Dual Edge Triggered Static D Flip-Flop International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 5, October 2013, pp. 577~583 ISSN: 2088-8708 577 High Performance Low Power Dual Edge Triggered Static D Flip-Flop Gagandeep

More information

Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application

Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application Design of Four Input Buck-Boost DC-DC Converter for Renewable Energy Application A.Thiyagarajan Assistant Professor, Department of Electrical and Electronics Engineering Karpagam Institute of Technology

More information

Grid Interconnection of Renewable Energy Sources Using Modified One-Cycle Control Technique

Grid Interconnection of Renewable Energy Sources Using Modified One-Cycle Control Technique Grid Interconnection of Renewable Energy Sources Using Modified One-Cycle Control Technique NKV.Sai Sunil 1, K.Vinod Kumar 2 PG Student, GITAM University, Visakhapatnam, India. Asst.Professor, Department

More information

Three-Phase Dual-Rail Pre-Charge Logic

Three-Phase Dual-Rail Pre-Charge Logic Infineon Page 1 CHES 2006 - Yokohama Three-Phase Dual-Rail Pre-Charge Logic L. Giancane, R. Luzzi, A. Trifiletti {marco.bucci, raimondo.luzzi}@infineon.com {giancane, trifiletti}@die.mail.uniroma1.it Summary

More information

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. The Binary Adder

FORDHAM UNIVERSITY CISC 3593. Dept. of Computer and Info. Science Spring, 2011. The Binary Adder FORDHAM UNIVERITY CIC 3593 Fordham College Lincoln Center Computer Organization Dept. of Computer and Info. cience pring, 2011 1 Introduction The Binar Adder The binar adder circuit is an important building

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS COMBINATIONAL CIRCUITS http://www.tutorialspoint.com/computer_logical_organization/combinational_circuits.htm Copyright tutorialspoint.com Combinational circuit is a circuit in which we combine the different

More information

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs.

So far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. equential Logic o far we have investigated combinational logic for which the output of the logic devices/circuits depends only on the present state of the inputs. In sequential logic the output of the

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

Low leakage and high speed BCD adder using clock gating technique

Low leakage and high speed BCD adder using clock gating technique Low leakage and high speed BCD adder using clock gating technique Mr. Suri shiva 1 Mr K.R.Anudeep Laxmikanth 2 Mr. Naveen Kumar.Ch 3 Abstract The growing market of mobile, battery powered electronic systems

More information

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization

Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Analysis and Design of High gain Low Power Fully Differential Gain- Boosted Folded-Cascode Op-amp with Settling time optimization Shubhara Yewale * and R. S. Gamad ** * (Department of Electronics & Instrumentation

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS ogic Family Specifications The IC6 74C/CT/CU/CMOS ogic Package Information The IC6 74C/CT/CU/CMOS ogic

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

Leakage Power Reduction Using Sleepy Stack Power Gating Technique

Leakage Power Reduction Using Sleepy Stack Power Gating Technique Leakage Power Reduction Using Sleepy Stack Power Gating Technique M.Lavanya, P.Anitha M.E Student [Applied Electronics], Dept. of ECE, Kingston Engineering College, Vellore, Tamil Nadu, India Assistant

More information

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort

Gate Delay Model. Estimating Delays. Effort Delay. Gate Delay. Computing Logical Effort. Logical Effort Estimating Delays Would be nice to have a back of the envelope method for sizing gates for speed Logical Effort Book by Sutherland, Sproull, Harris Chapter 1 is on our web page Also Chapter 4 in our textbook

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Design and Simulation of Soft Switched Converter Fed DC Servo Drive

Design and Simulation of Soft Switched Converter Fed DC Servo Drive International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-1, Issue-5, November 211 Design and Simulation of Soft Switched Converter Fed DC Servo Drive Bal Mukund Sharma, A.

More information

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay

e.g. τ = 12 ps in 180nm, 40 ps in 0.6 µm Delay has two components where, f = Effort Delay (stage effort)= gh p =Parasitic Delay Logic Gate Delay Chip designers need to choose: What is the best circuit topology for a function? How many stages of logic produce least delay? How wide transistors should be? Logical Effort Helps make

More information

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse.

DIGITAL COUNTERS. Q B Q A = 00 initially. Q B Q A = 01 after the first clock pulse. DIGITAL COUNTERS http://www.tutorialspoint.com/computer_logical_organization/digital_counters.htm Copyright tutorialspoint.com Counter is a sequential circuit. A digital circuit which is used for a counting

More information

Low-power configurable multiple function gate

Low-power configurable multiple function gate Rev. 7 10 September 2014 Product data sheet 1. General description The provides configurable multiple functions. The output state is determined by eight patterns of 3-bit input. The user can choose the

More information

2 Topology and Control Schemes

2 Topology and Control Schemes AC Motor Drive Fed by Renewable Energy Sources with PWM J. Pavalam 1 ; R. Ramesh Kumar 2 ; R. Mohanraj 3 ; K. Umadevi 4 1 PG Scholar, M.E-Power electronics and Drives Excel College of Engineering and Technology

More information

Alexander Mora-Sanchez, Dietmar Schroeder, and Wolfgang H. Krautschneider. Hamburg University of Technology, Germany

Alexander Mora-Sanchez, Dietmar Schroeder, and Wolfgang H. Krautschneider. Hamburg University of Technology, Germany PRELIMINARIES ON LOW-POWER ANALOG-TO-DIGITAL CONVERSION FOR SYSTEM-ON-CHIP DESIGN: SIGMA-DELTA MODULATORS WITH A SINGLE AMPLIFIER AND A NOVEL 4-TRANSISTOR -BIT FULL ADDER Alexander Mora-Sanche, Dietmar

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC06 74C/CT/CU/CMOS ogic Family Specifications The IC06 74C/CT/CU/CMOS ogic Package Information The IC06 74C/CT/CU/CMOS

More information

Analog & Digital Electronics Course No: PH-218

Analog & Digital Electronics Course No: PH-218 Analog & Digital Electronics Course No: PH-218 Lec-28: Logic Gates & Family Course Instructor: Dr. A. P. VAJPEYI Department of Physics, Indian Institute of Technology Guwahati, India 1 Digital Logic Gates

More information

MULTI-INPUT DC-DC CONVERTER FOR RENEWABLE ENERGY SOURCES

MULTI-INPUT DC-DC CONVERTER FOR RENEWABLE ENERGY SOURCES MULTI-INPUT DC-DC CONVERTER FOR RENEWABLE ENERGY SOURCES Nithya.k 1, Ramasamy.M 2 1 PG Scholar, Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tamil Nadu, India 2 Assistant

More information

Study on Differential Protection of Transmission Line Using Wireless Communication

Study on Differential Protection of Transmission Line Using Wireless Communication Study on Differential Protection of Transmission Line Using Wireless Communication George John.P 1, Agna Prince 2, Akhila.K.K 3, Guy Marcel 4, Harikrishnan.P 5 Professor, Dept. of EEE, MA Engineering College,

More information

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language

Chapter 4 Register Transfer and Microoperations. Section 4.1 Register Transfer Language Chapter 4 Register Transfer and Microoperations Section 4.1 Register Transfer Language Digital systems are composed of modules that are constructed from digital components, such as registers, decoders,

More information

CSE140 Homework #7 - Solution

CSE140 Homework #7 - Solution CSE140 Spring2013 CSE140 Homework #7 - Solution You must SHOW ALL STEPS for obtaining the solution. Reporting the correct answer, without showing the work performed at each step will result in getting

More information

A Delay Efficient Robust Self-Timed Full Adder

A Delay Efficient Robust Self-Timed Full Adder A Delay Efficient Robust Self-Timed Full Adder P. Balasubramanian and D.A. Edwards School of Computer Science The University of Manchester Oxford Road, Manchester M13 9PL, United Kingdom. E-mail ID: {padmanab,

More information

Chapter 10 Advanced CMOS Circuits

Chapter 10 Advanced CMOS Circuits Transmission Gates Chapter 10 Advanced CMOS Circuits NMOS Transmission Gate The active pull-up inverter circuit leads one to thinking about alternate uses of NMOS devices. Consider the circuit shown in

More information