ANSYS HFSS 3D Layout 侯 明 刚

Size: px
Start display at page:

Download "ANSYS HFSS 3D Layout 侯 明 刚"

Transcription

1 ANSYS HFSS 3D Layout 侯 明 刚

2 Introducing the New Layout Flow with HFSS

3 Two Design Interfaces Mechanical CAD (MCAD) Both Require Electrical the Accuracy Layout (ECAD) of 3D and HFSS

4 HFSS: 3D Parametric Design Entry (MCAD) Arbitrary 3D HFSS Desktop Parametric Variations Toolkits Script Customization Geometry 3 rd Party 3D CAD Bi-Directional 3D Editor Native 3D Component Library Design Flow Integration Customization

5 HFSS: Layout Parametric Design Entry (EDA) Geometry 3 rd Party Layout Translation Layout Editor Native Scripted Footprints 3D Layout Designer Desktop Parametric Variations Toolkits Script Customization Design Flow Integration Customization

6 HFSS v2014: Arbitrary 3D or 3D Layout No change to 3D product, licenses added to enable layout ensemble_gui nexxim_gui Arbitrary 3D Parametric Variations Toolkits Script Customization 3 rd Party 3D CAD Bi-Directional 3D Editor Native 3D Component Library 3 rd Party Layout Translation Layout Editor Native Scripted Footprints Required Installs HFSS v2014 Designer v2014 3D Layout Parametric Variations Toolkits Script Customization

7 Additional Capability for HFSS v HFSS with Layout Editor (Included) Automated Interface for Package, PCB, or IC passive design Layout with Integrated 3D HFSS solve» DC Solver» Passive/Causal Frequency Sweeps» Embedded S-Parameters» Native HFSS solves from Cadence Allegro, APD, SiP, and Virtuoso Schematic Capture (Circuit Analysis enabled with optional license) Full-wave SPICE circuit generation 2. PlanarEM (2.5D Method of Moments, Included) Enabled with the HFSS solve license 3. Phi Mesher

8 HFSS from Layout Features for HFSS from Layout Integrated HFSS for layout geometry Parametric Layout Editor Stackup Etch Factor/Layer Offset Padstacks Trace parameters HFSS Extents Finite Dielectrics Sub-region Hierarchy Solver Integrated HFSS solver DC Solver Embedded RLC and/or S-Parameters for HFSS Post-Processing Network Data Explorer Full-Wave SPICE/HSPICE broadband ROM export Integration with industry: Cadence, Zuken, Mentor and Altium

9 Now included with HFSS Fast solve 2D conductors Infinite GND Early in the design process Leverage HFSS and 3D FEM with adaptive meshing in the later stages PlanarEM 2.5D Method of Moments

10 Ease of Use: Ports 3. Right click on Excitations, then click on Couple Edge Ports 1. Click on the Select Elements button 2. While pressing the Ctrl button, select both lumped ports

11 Convert to Differential Waveport in One Click Newly created coupled Waveport

12 Easily Size Waveport in a Few Clicks Port with a Horizontal Extent Factor = 3 If the newly generated coupled waveport can be changed by editing the Horizontal Extent Factor Port with a Horizontal Extent Factor = 5

13 HFSS Solve from SIwave

14 HFSS within Cadence Create and Solve HFSS models from within Allegro Package Designer Allegro PCB Designer SiP Digital Layout Virtuoso Analog Design Environment Set-up and solve HFSS simulations without leaving the Cadence environment

15 HFSS 3D Layout and the Phi Mesher

16 Classic HFSS Meshing Techniques Approach: Starts with a 3D surface triangular mesh on all objects and generate a 3D volume mesh throughout simulation domain Availability: HFSS (traditional 3D interface) and HFSS 3D Layout Pro: Works for any arbitrary 3D geometry Con: For complex, many layered geometries can require a long time to generate Phi New Approach: From a layout, generate layer by layer 2D triangular mesh. Sweep mesh in stackup (+z) direction to generate tetrahedral mesh elements Advantage: Skips heavyweight ACIS and 3D surface mesh generation Availability: HFSS 3D Layout only Pro: Extremely fast relative to classic 3D mesh approach Con: Only works for stack-up or swept in Z geometries. IC components and packages, PCBs. etc.

17 Why Phi? Phi vs Classic Always wins initial mesh generation Including Lambda + Port Adapt Initial mesh size is comparable R14.5: 5hrs - Initial Mesh : 11min - Initial Mesh Can mesh bigger designs When combined with distributed memory solver and hardware can solve very large and complex designs Summary: Initial Mesh is orders of magnitudes faster, Solver is the same

18 Accurate Solution Industry leading meshing capacity Causal/Passive s-parameters True DC solution Embedded RLC or s-parameter components Benefits of HFSS for 3D Layout Fast Simulations Reduces multi-day long simulations to a few hours or less Ease of use 3D Layout Easy translation, import and setup Maintains trace characteristics and nets from layout Hierarchical design Chip/Package/Board Embedded dielectric layers Parameterized model for Design Optimization Trace width, layer thickness, via dimensions etc. Manufacturing tolerances (etching factor) Materials

19 Enhanced Meshing in HFSS 3D Layout HFSS 3D Layout meshing advantages Mesh and solve non-manifold geometry Mesh geometries with large aspect ratios Layer-based geometry is easier to edit, modify, clean up etc. ¼ of multilayer flip-chip package 20 nets (40 ports) HFSS 3D Classic 5hrs 15min HFSS 3D Layout w Classic v15 3hrs 41 min HFSS 3D Layout w Phi 11 min

20 Is there a difference between HFSS 3D and HFSS 3D Layout? Solve a model in both HFSS 3D and HFSS 3D Layout and compare Note easy export from HFSS 3D layout to HFSS 3D

21 Multilayer complex package layout HFSS 3D compared to HFSS 3D Layout HFSS 3D CAD HFSS 3D Layout

22 Initial Meshing Comparison Extremely fast initial Phi meshing. Phi is 20X faster! 4min vs. 1hr 20min HFSS 3D - Classic HFSS 3D Layout - PHI

23 HFSS 3D Run Time Total: 6hrs 33min Adaptive Part: 4hr 1min Interpolating Sweep: 2hrs 32 min

24 HFSS 3D Layout Run Time Total: 3hrs 3min Adaptive Part: 1hr 05min Interpolating Sweep: 1hr 58 min

25 HFSS 3D vs. HFSS 3D Layout Virtually identical results from adaptive meshing Solid HFSS 3D Dashed HFSS 3D Layout

26 Summary HFSS 3D CAD HFSS 3D Layout Initial Mesher Classic Phi Initial Mesh Size (tets) 763, , 536 Initial Mesh Time 1hr 20min 4min Number of Adaptive Passes 6 7 Number of Tets - Final 1, 617, 954 1, 620, 969 Adaptive Passes Run Time 4hrs 1min 1hr 5min (3.7X faster) RAM 47.6 GB 49.6 GB

27 Is there a difference between HFSS 3D and HFSS 3D Layout? Example #2: Multi-layer PCB with end to end SMA connectors 3D components drawn as stacked up geometry can be modeled in HFSS 3D Layout

28 HFSS 3D Solid Model Design Creation HFSS 3D Geometry Include SMA connector body with stands (serve as ground pins) Account for true 3D SMA transition to PCB Lumped Port dimensions equal to connector pin dimensions

29 HFSS 3D Layout Design Creation Added SMA body geometry to a layout stackup Port properties created automatically Stackup Editor Layout View Port/pin settings EM Design 3D View

30 Results Comparison HFSS 3D compared to HFSS 3D Layout Solid HFSS 3D Dashed HFSS 3D Layout

31 总 结 Phi meshing 是 突 破 性 的 有 限 元 网 格 生 成 技 术 为 IC IC 封 装 和 PCB 设 计 者 提 供 了 电 路 工 程 师 熟 悉 的 操 作 界 面 和 快 速 初 始 网 格 刨 分 和 快 速 仿 真 技 术 结 合 ANSYS HPC 技 术 增 加 求 解 规 模 的 可 扩 展 性, 支 持 求 解 更 大 更 复 杂 的 层 叠 结 构 分 布 式 直 接 矩 阵 求 解 器 (Distributed direct matrix solver) 使 用 多 机 分 布 式 内 存 方 式 求 解 及 其 消 耗 内 存 的 超 大 型 复 杂 设 计 频 域 分 解 算 法 (Spectral decomposition method) 进 行 多 频 点 并 行 求 解, 加 快 扫 频 速 度

32

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design Zoltan Cendes Wireless Consumer Devices PCB noise System SI Predicts Receiver Desensitization System EMI Predicts Display

More information

Co-simulation of Microwave Networks. Sanghoon Shin, Ph.D. RS Microwave

Co-simulation of Microwave Networks. Sanghoon Shin, Ph.D. RS Microwave Co-simulation of Microwave Networks Sanghoon Shin, Ph.D. RS Microwave Outline Brief review of EM solvers 2D and 3D EM simulators Technical Tips for EM solvers Co-simulated Examples of RF filters and Diplexer

More information

http://www.paper.edu.cn

http://www.paper.edu.cn 5 10 15 20 25 30 35 40 Agent-based Monitoring Approach for Hybrid Cloud # LIU Yunchang, LI Chunlin, LIU Yanpei * (Department of Computer Science and Technology,Wuhan University of Technology, WuHan 430063)

More information

电 信 与 互 联 网 法 律 热 点 问 题

电 信 与 互 联 网 法 律 热 点 问 题 2014 年 5 月 26 日 2014 年 8 月 14 日 电 信 与 互 联 网 法 律 热 点 问 题 即 时 通 信 工 具 公 众 信 息 服 务 发 展 管 理 暂 行 规 定 简 评 2014 年 8 月 7 日, 国 家 互 联 网 信 息 办 公 室 发 布 了 即 时 通 信 工 具 公 众 信 息 服 务 发 展 管 理 暂 行 规 定 ( 以 下 简 称 暂 行 规 定 ),

More information

Advancements in Slurry Gasification

Advancements in Slurry Gasification Advancements in Slurry Gasification Jason Crew, General Manager GE Power & Water, Gasification International Advanced Coal Technologies Conference 2012 Xi an June 4, 2012 Gasification is energy conversion

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Trace Layer Import for Printed Circuit Boards Under Icepak

Trace Layer Import for Printed Circuit Boards Under Icepak Tutorial 13. Trace Layer Import for Printed Circuit Boards Under Icepak Introduction: A printed circuit board (PCB) is generally a multi-layered board made of dielectric material and several layers of

More information

ANSYS for Tablet Computer Design

ANSYS for Tablet Computer Design ANSYS for Tablet Computer Design Steven G. Pytel, PhD. Signal Integrity Product Manager 1 Confidence by Design Chicago, IL June 14, 2012 Tablets in our daily lives Tablets are very entertaining, stylish

More information

广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲

广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲 广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲 Ⅰ. 考 试 性 质 普 通 高 等 学 校 本 科 插 班 生 招 生 考 试 是 由 专 科 毕 业 生 参 加 的 选 拔 性 考 试 高 等 学 校 根 据 考 生 的 成 绩, 按 已 确 定 的 招 生 计 划, 德 智 体 全 面 衡 量, 择 优 录 取 该

More information

Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer

Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer 1 Tablets in our daily lives Tablets are very entertaining, stylish and powerful Shopping, reading,

More information

中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入

中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入 中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入 创 建 世 界 一 流 研 究 院 是 中 国 石 油 化 工 股 份 有 限 公 司 上 海 石 油 化 工 研 究 院 ( 以 下 简 称 上 海 院 ) 的 远 景 目 标, 满 足 国 家 石 油 石 化 发 展 需 求, 为 石 油 石 化 提 供 技 术 支 撑 将 是 上 海 院 的 使

More information

Realize Your Product Promise. DesignerSI

Realize Your Product Promise. DesignerSI Realize Your Product Promise DesignerSI Choose the integrated circuit, system and EM field simulation tool that sets the standard for accuracy. DesignerSI delivers easy signal-integrity, power-integrity

More information

中 国 ( 南 京 ) 软 件 谷 简 介

中 国 ( 南 京 ) 软 件 谷 简 介 中 国 ( 南 京 ) 软 件 谷 简 介 中 国 ( 南 京 ) 软 件 谷 是 首 个 中 国 软 件 名 城 的 核 心 区 和 标 志 区, 位 于 南 京 主 城 南 部, 紧 邻 河 西 新 城 和 南 部 新 城, 总 面 积 70 平 方 公 里, 是 距 主 城 最 近 产 业 集 聚 度 最 高 生 态 资 源 最 好 交 通 最 为 便 捷 的 软 件 产 业 基 地 2011

More information

Project 1: Rectangular Waveguide (HFSS)

Project 1: Rectangular Waveguide (HFSS) Project 1: Rectangular Waveguide (HFSS) b ε r a a = 0.9 (2.286cm) b = 0.4 (1.016cm) ε r = 1.0 Objective Getting Started with HFSS (a tutorial) Using HFSS, simulate an air-filled WR-90 waveguide shown above.

More information

Efficient Meshing in Sonnet

Efficient Meshing in Sonnet 100 Elwood Davis Road North Syracuse, NY 13212 USA Efficient Meshing in Sonnet Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH 2008 Sonnet Software, Inc. Sonnet is a registered trademark of Sonnet

More information

Circuit Simulation and Technical Support Tools

Circuit Simulation and Technical Support Tools TDK EMC Technology Practice Section Circuit Simulation and Technical Support Tools TDK Corporation Application Center Tetsuya Umemura, Katsushi Ebata 1 Utilization of Computer Simulation In recent years,

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

Minimizing crosstalk in a high-speed cable-connector assembly.

Minimizing crosstalk in a high-speed cable-connector assembly. Minimizing crosstalk in a high-speed cable-connector assembly. Evans, B.J. Calvo Giraldo, E. Motos Lopez, T. CERN, 1211 Geneva 23, Switzerland John.Evans@cern.ch Eva.Calvo.Giraldo@cern.ch Tomas.Motos-Lopez@cern.ch

More information

ADS for your RF Board Design Flow

ADS for your RF Board Design Flow ADS for your RF Board Design Flow Bart Van Hecke Agilent EEsof EDA 1 Agilent EEsof EDA Global solution provider and #1 supplier of RF EDA tools Unique position as the only company delivering Test&Measurement

More information

第 二 届 中 国 中 东 欧 国 家 投 资 贸 易 博 览 会 总 体 方 案

第 二 届 中 国 中 东 欧 国 家 投 资 贸 易 博 览 会 总 体 方 案 第 二 届 中 国 中 东 欧 国 家 投 资 贸 易 博 览 会 总 体 方 案 一 总 体 要 求 第 二 届 中 国 中 东 欧 国 家 投 资 贸 易 博 览 会 ( 简 称 中 东 欧 博 览 会 ) 顺 应 一 带 一 路 发 展 战 略, 以 中 国 - 中 东 欧 国 家 合 作 苏 州 纲 要 为 指 导, 以 深 化 合 作 互 利 共 赢 为 主 题, 通 过 举 办 会 议

More information

The transmission calculation by empirical numerical model and Monte Carlo simulation in high energy proton radiography of thick objects *

The transmission calculation by empirical numerical model and Monte Carlo simulation in high energy proton radiography of thick objects * The transmission calculation by empirical numerical model and Monte Carlo simulation in high energy proton radiography of thick objects * ZHNG Na ( 郑 娜 ) XU Hai-Bo ( 许 海 波 ) 1) Institute of Applied Physics

More information

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H Complete PCB Design Using OrCAD Capture and PCB Editor Kraig Mitzner IIB ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H NEW YORK * OXFORD PARIS SAN DIEGO ШШЯтИ' ELSEVIER SAN FRANCISCO SINGAPORE SYDNEY

More information

Laboratory 2. Exercise 2. Exercise 2. PCB Design

Laboratory 2. Exercise 2. Exercise 2. PCB Design Exercise 2. PCB Design Aim of the measurement Introducing to the PCB design Creating a schematic of an analog circuit, making simulations on it and designing a Printed circuit board for it. Keywords Printed

More information

Cadence SiP Design Connectivity-driven implementation and optimization of singleor multi-chip SiPs

Cadence SiP Design Connectivity-driven implementation and optimization of singleor multi-chip SiPs Connectivity-driven implementation and optimization of singleor multi-chip SiPs System-in-package (SiP) implementation presents new hurdles for system architects and designers. Conventional EDA solutions

More information

Improved Allegro to Pro/E Bidirectional Data Exchange

Improved Allegro to Pro/E Bidirectional Data Exchange Improved Allegro to Pro/E Bidirectional Data Exchange CDN Live! Silicon Valley 2007 Session 7.2 Michael Wilson, Dell Inc. Andreas Kulik, PTC Agenda Introduction Data Representation Translation Process

More information

Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案

Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案 DBI304 Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案 徐園程 Sr. Technical Account Manager Thomas.Hsu@Microsoft.com 微軟資料倉儲的願景 未來趨勢 Appliance PDW AU3 新功能 Hub & Spoke 架構運用 PDW & Big Data 大綱 客戶案例分享 與其他 MPP 比較 100%

More information

ifuzhen.com, ifortzone.com a product of Edgework Ventures Financial Management Software & Financial Wiki

ifuzhen.com, ifortzone.com a product of Edgework Ventures Financial Management Software & Financial Wiki ifuzhen.com, ifortzone.com a product of Edgework Ventures Edgework Ventures Limited Flat L 19th Floor, Goldfield Building 144-150 Tai Lin Pai Road Kwai Chung, Hong Kong Edgework Technology, Shanghai 中国

More information

Impedance 50 (75 connectors via adapters)

Impedance 50 (75 connectors via adapters) VECTOR NETWORK ANALYZER PLANAR TR1300/1 DATA SHEET Frequency range: 300 khz to 1.3 GHz Measured parameters: S11, S21 Dynamic range of transmission measurement magnitude: 130 db Measurement time per point:

More information

A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves

A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves PRC Labor and Employment Law Newsflash February 2016 A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves On 27 th December 2015 an amendment to the PRC Population and Family Planning

More information

~1: 15 /;' J~~~~c...:;.--:.. I. ~ffi ~I J) ':~

~1: 15 /;' J~~~~c...:;.--:.. I. ~ffi ~I J) ':~ ~1: 15 /;' J~~~~c...:;.--:.. I ~ffi ~I J) ':~ _ Making CET Writing Sub-test Communicative A Thesis Presented to The College ofenglish Language and Literature Shanghai International Studies University In

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

Automating Inter-Layer In-Design Checks in Rigid-Flex PCBs

Automating Inter-Layer In-Design Checks in Rigid-Flex PCBs Automating Inter-Layer In-Design Checks in Rigid-Flex PCBs By Ed Hickey, Product Engineering Director, Cadence Flexible PCBs (flex/rigid-flex) make it possible to create a variety of products that require

More information

DFA (Design For Assembly) This Application Note describes how to use the DFA functionality and the different settings.

DFA (Design For Assembly) This Application Note describes how to use the DFA functionality and the different settings. Title: Product: Summary: DFA (Design For Assembly) Allegro PCB Designer This describes how to use the DFA functionality and the different settings. Author/Date: Marco Waller / 19.11.2011 Table of Contents

More information

CAD Import Module and LiveLink for CAD V4.3a

CAD Import Module and LiveLink for CAD V4.3a CAD Import Module and LiveLink for CAD V4.3a LiveLink for AutoCAD, LiveLink for Creo Parametric, LiveLink for Inventor, LiveLink for Pro/ENGINEER, LiveLink for Solid Edge, LiveLink for SolidWorks, LiveLink

More information

Writing Gerber Files from Cadence APD/Allegro for NETEX-G

Writing Gerber Files from Cadence APD/Allegro for NETEX-G Writing Gerber Files from Cadence APD/Allegro for NETEX-G Steve DiBartolomeo Applications Manager Artwork Conversion Software, Inc. Artwork's NETEX-G program uses Gerber files and drill files to extract

More information

Streamlining the creation of high-speed interconnect on digital PCBs

Streamlining the creation of high-speed interconnect on digital PCBs Streamlining the creation of high-speed interconnect on digital PCBs The Cadence integrated high-speed design and analysis environment streamlines creation of high-speed interconnect on digital PCBs. A

More information

Copyrights. Software, documentation and related materials: Copyright 2002 Altium Limited

Copyrights. Software, documentation and related materials: Copyright 2002 Altium Limited Signal Integrity Copyrights Software, documentation and related materials: Copyright 2002 Altium Limited This software product is copyrighted and all rights are reserved. The distribution and sale of this

More information

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools

Printed Circuit Boards. Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools Printed Circuit Boards (PCB) Printed Circuit Boards Bypassing, Decoupling, Power, Grounding Building Printed Circuit Boards CAD Tools 1 Bypassing, Decoupling, Power, Grounding 2 Here is the circuit we

More information

2013 首 届 国 际 营 养 与 健 康 大 会

2013 首 届 国 际 营 养 与 健 康 大 会 2013 首 届 国 际 营 养 与 健 康 大 会 时 间 :2013 年 10 月 12 日 -14 日 地 点 : 中 国 大 连 国 际 会 议 中 心 参 会 指 南 一. 报 到 和 注 册 注 册 时 间 :10 月 11 日 -14 日 8:00-17:00 注 册 地 点 : 大 连 国 际 会 议 中 心 1 楼 注 册 台 注 册 流 程 : 会 前 已 经 交 纳 会 务 费

More information

A Program for PCB Estimation with Altium Designer

A Program for PCB Estimation with Altium Designer A Program for PCB Estimation with Altium Designer By: Steve Hageman AnalogHome.com One thing that I have had to do over and over on my new PCB jobs is to make an estimate of how long I think the layout

More information

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Martin Biehl (mbiehl@cadence.com) Ecole d'électronique numérique Fréjus 27.Nov.2012 Agenda 1. Key Design Challenges 2. DDR3 Design-In

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

Bird still caged? China s courts under reform. Workshop, June 3-4, 2016, Vienna, Austria. (University of Vienna, Department of East Asian Studies)

Bird still caged? China s courts under reform. Workshop, June 3-4, 2016, Vienna, Austria. (University of Vienna, Department of East Asian Studies) Bird still caged? China s courts under reform Workshop, June 3-4, 2016, Vienna, Austria (University of Vienna, Department of East Asian Studies) At this workshop, expert participants will exchange information

More information

MID, Flexible Circuits or Printed Circuit Boards? Technology Selection Based on Virtual Prototypes. 1 MID Congress 2010

MID, Flexible Circuits or Printed Circuit Boards? Technology Selection Based on Virtual Prototypes. 1 MID Congress 2010 1 MID Congress 2010 Company Overview Company history 07/2003: Foundation by Dr. Thomas Krebs 09/2006: Named FlowCAD as distributor Product NEXTRA Innovative product technology Industries: Transportation,

More information

BEDIFFERENT A C E 2 0 1 2 I N T E R N A T I O N A L

BEDIFFERENT A C E 2 0 1 2 I N T E R N A T I O N A L BEDIFFERENT A C E 2 0 1 2 I N T E R N A T I O N A L ACE 2012 I N TERNATIONAL Integration Basics Nathan Brown Director of Product Management Aras www. Slide 3 Agenda Integration Landscape Packaged Integration

More information

Automated EMC Rule Checking for PCB Designs in the Real-World

Automated EMC Rule Checking for PCB Designs in the Real-World Automated EMC Rule Checking for PCB Designs in the Real-World Bruce Archambeault, PhD IEEE Fellow Archambeault EMI/EMC Enterprises Missouri University of Science & Technology Adjunct Professor IBM Distinguished

More information

Technology Update: Workbench, Geometry, Meshing, Workflow. ANSYS Users Group Meeting Prague, 2011

Technology Update: Workbench, Geometry, Meshing, Workflow. ANSYS Users Group Meeting Prague, 2011 Technology Update: Workbench, Geometry, Meshing, Workflow ANSYS Users Group Meeting Prague, 2011 1 Andreas Kolms Hannover 2 Agenda Workbench Geometry Meshing Workflow 3 The Path to Robust Design Optimization

More information

Solutions Components Products Services IMST THE MORE EFFECTIVE 3D-EM SIMULATION TOOL. EMPIRE XCcel

Solutions Components Products Services IMST THE MORE EFFECTIVE 3D-EM SIMULATION TOOL. EMPIRE XCcel THE MORE EFFECTIVE 3D-EM SIMULATION TOOL EMPIRE XCcel 2 3 EMPIRE XCcel s applicability ranges from analyzing planar, multi-layered and conformal circuits, components and antennas to multi-pin packages,

More information

Kingdom Tower: A New Icon for Saudi Arabia

Kingdom Tower: A New Icon for Saudi Arabia ctbuh.org/papers Title: Author: Subject: Keywords: Kingdom Tower: A New Icon for Saudi Arabia Talal Al Maiman, Chairman, Kingdom Real Estate Development Company Architectural/Design Construction Design

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950

Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950 Simulazione 3D elettromagnetica Time e Frequency domain Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950 1 Agenda CST company

More information

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Yu Xuequan, Yan Hang, Zhang Gezi, Wang Haisan Huawei Technologies Co., Ltd Lujiazui Subpark, Pudong Software

More information

Can someone speak some Korean to tell him where the aperture button is?

Can someone speak some Korean to tell him where the aperture button is? Adempiere 中文手册 Can someone speak some Korean to tell him where the aperture button is? 目录 ADempiere 项目...4 版权说明...4 ADempiere Business Suite...5 商业过程...5 Quote to Cash...6 商业文档规则...7 文档状态...7 文档顺序...7

More information

Number of Edges on Excavated Boundaries : What does it mean?

Number of Edges on Excavated Boundaries : What does it mean? As with all of Rocscience software, RS 3 is developed to be an easy-to-use, quick-to-learn 3D FEM software that takes care of tedious modeling tasks so the user may concentrate on simulating the geomechanical

More information

Complete Technology and RFID

Complete Technology and RFID UGM 2007 Complete Technology and RFID Overview Operating Principles Inductive Coupling Microwave Coupling Coupling to Circuit Simmulation Summary T. Wittig 1 twi, apr / v2.0 / 12. Jun 2007 Overview Radio

More information

An Overview of the Finite Element Analysis

An Overview of the Finite Element Analysis CHAPTER 1 An Overview of the Finite Element Analysis 1.1 Introduction Finite element analysis (FEA) involves solution of engineering problems using computers. Engineering structures that have complex geometry

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconenience this may cause. For the latest

More information

MySQL 備 份, 高 可 用 及 高 扩 展 解 决 方 案

MySQL 備 份, 高 可 用 及 高 扩 展 解 决 方 案 MySQL 備 份, 高 可 用 及 高 扩 展 解 决 方 案 MySQL 复 制 和 集 群 杜 修 文 Oracle MySQL Principle Sales Consultant Ivan.Tu@Oracle.Com Backup and Restore Backup Strategies Comparison Method 1: Longest

More information

Microsoft Big Data 解決方案與案例分享

Microsoft Big Data 解決方案與案例分享 DBI 312 Microsoft Big Data 解決方案與案例分享 Rich Ho Technical Architect 微軟技術中心 Agenda What is Big Data? Microsoft Big Data Strategy Key Benefits of Microsoft Big Data Demo Case Study What is Big Data? The world

More information

Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950

Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950 Simulazione 3D elettromagnetica Time e Frequency domain Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950 1

More information

COMPARISON OF SOFTWARE TOOLS FOR THE DESIGN OF MICROWAVE COMPONENTS

COMPARISON OF SOFTWARE TOOLS FOR THE DESIGN OF MICROWAVE COMPONENTS COMPARISON OF SOFTWARE TOOLS FOR THE DESIGN OF MICROWAVE COMPONENTS Dr Richard Jenkins, Cranfield University, Cranfield, Bedfordshire MK43 0AL, UK email: r.jenkins@cranfield.ac.uk Dr Y. Xu and Prof. R.

More information

Connector Launch Design Guide

Connector Launch Design Guide WILD RIVER TECHNOLOGY LLC Connector Launch Design Guide For Vertical Mount RF Connectors James Bell, Director of Engineering 4/23/2014 This guide will information on a typical launch design procedure,

More information

美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书

美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书 美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书 2012 年 9 月 7 日 本 文 所 述 意 见 仅 代 表 美 国 律 师 协 会 (ABA) 知 识 产 权 法 部 和 国 际 法 律 部 的 意 见 文 中 的 评 论 内 容 未 经 美 国 律 师

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s PCIEC-85 PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark

More information

Graser User Conference Only

Graser User Conference Only Miniaturization- Rigid-Flex Design with Allegro Jonathan Lee / Graser 31/Oct/2014 Rigid-Flex Design with Allegro Miniaturization Design Miniaturization through Rigid-Flex Rigid-Flex Design Flow Miniaturization

More information

Wurth Electronics Midcom Altium Library Training Module Altium Designer layout software and the Wurth Electronics Midcom Altium libraries

Wurth Electronics Midcom Altium Library Training Module Altium Designer layout software and the Wurth Electronics Midcom Altium libraries Wurth Electronics Midcom Altium Library Training Module Altium Designer layout software and the Wurth Electronics Midcom Altium libraries www.we-online.com/midcom Slide 1 Contents Overview of Altium Designer

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

国 际 储 备 与 外 币 流 动 性 数 据 模 板 Template on International Reserves and Foreign Currency Liquidity

国 际 储 备 与 外 币 流 动 性 数 据 模 板 Template on International Reserves and Foreign Currency Liquidity Shocking Breakdown of China's Foreign Reserves is Bullish for Gold July 1, 2016 I want to focus on the composition of China s foreign reserves, explain why the liquid portion of the reserves may be much

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

FRESH PRODUCE FORUM CHINA, 1 JUNE 2016, CHENGDU, CHINA 新 鲜 果 蔬 行 业 中 国 高 峰 论 坛,2016 年 6 月 1 日, 成 都 EXHIBITOR REGISTRATION FORM 参 展 商 申 请 表 格

FRESH PRODUCE FORUM CHINA, 1 JUNE 2016, CHENGDU, CHINA 新 鲜 果 蔬 行 业 中 国 高 峰 论 坛,2016 年 6 月 1 日, 成 都 EXHIBITOR REGISTRATION FORM 参 展 商 申 请 表 格 FRESH PRODUCE FORUM CHINA, 1 JUNE 2016, CHENGDU, CHINA 新 鲜 果 蔬 行 业 中 国 高 峰 论 坛,2016 年 6 月 1 日, 成 都 EXHIBITOR REGISTRATION FORM 参 展 商 申 请 表 格 1. Exhibitor Data 参 展 商 信 息 (PLEASE COMPLETE IN CAPITAL LETTERS

More information

MySQL High Availability. MMM & MHA in DP 卢钧轶

MySQL High Availability. MMM & MHA in DP 卢钧轶 MySQL High Availability MMM & MHA in DP 卢钧轶 Agenda Why we need a HA solution MMM in DP MHA in DP When We Need to Switch Server Server down Server maintenance Logical : DDL on big table Physical: memory

More information

The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates

The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates The Performance Leader in Microwave Connectors The Design & Test of Broadband Launches up to 50 GHz on Thin & Thick Substrates Thin Substrate: 8 mil Rogers R04003 Substrate Thick Substrate: 30 mil Rogers

More information

Simulation and Design Route Development for ADEPT-SiP

Simulation and Design Route Development for ADEPT-SiP Simulation and Design Route Development for ADEPT-SiP Alaa Abunjaileh, Peng Wong and Ian Hunter The Institute of Microwaves and Photonics School of Electronic and Electrical Engineering The University

More information

CONCEPT-II. Overview of demo examples

CONCEPT-II. Overview of demo examples CONCEPT-II CONCEPT-II is a frequency domain method of moment (MoM) code, under development at the Institute of Electromagnetic Theory at the Technische Universität Hamburg-Harburg (www.tet.tuhh.de). Overview

More information

Kristian Skovbakke Villadsen, Arkitekt MAA, Associate ved Gehl Architects

Kristian Skovbakke Villadsen, Arkitekt MAA, Associate ved Gehl Architects Kristian Skovbakke Villadsen, Arkitekt MAA, Associate ved Gehl Architects 10% boede i byer i år 1900... 50% boede i byer i 2007... 75% vil bo i byer i 2050... The Endless City 1 Model A: Brug Resurser

More information

Best practices for efficient HPC performance with large models

Best practices for efficient HPC performance with large models Best practices for efficient HPC performance with large models Dr. Hößl Bernhard, CADFEM (Austria) GmbH PRACE Autumn School 2013 - Industry Oriented HPC Simulations, September 21-27, University of Ljubljana,

More information

Executive Summary. Table of Contents

Executive Summary. Table of Contents Executive Summary How to Create a Printed Circuit Board (PCB) Department of Electrical & Computer Engineering Michigan State University Prepared by: John Kelley Revision: 4/06/00 This application note

More information

FLUX / GOT-It Finite Element Analysis of electromagnetic devices Maccon GmbH

FLUX / GOT-It Finite Element Analysis of electromagnetic devices Maccon GmbH FLUX / GOT-It Finite Element Analysis of electromagnetic devices Maccon GmbH Entwurfswerkzeuge für elektrische Maschinen MACCON GmbH 09/04/2013 1 Flux software Modeling electromagnetic and thermal phenomena

More information

Power Delivery Network (PDN) Analysis

Power Delivery Network (PDN) Analysis Power Delivery Network (PDN) Analysis Edoardo Genovese Importance of PDN Design Ensure clean power Power Deliver Network (PDN) Signal Integrity EMC Limit Power Delivery Network (PDN) VRM Bulk caps MB caps

More information

大 学 英 语 六 级 随 堂 听 简 答 篇

大 学 英 语 六 级 随 堂 听 简 答 篇 大 学 英 语 六 级 随 堂 听 简 答 篇 唐 启 明 第 一 节 简 答 题 概 况 简 答 题 在 大 纲 里 描 述 较 简 单 : 简 答 题 的 篇 章 后 有 若 干 个 问 题, 要 求 考 生 根 据 对 文 章 的 理 解 简 洁 地 ( 少 于 10 个 词 ) 回 答 问 题 或 完 成 句 子 在 2006 年 新 题 型 以 前 考 过 几 次 简 答, 但 与 现 在

More information

EW-7438RPn Mini 安 裝 指 南. 07-2014 / v1.0

EW-7438RPn Mini 安 裝 指 南. 07-2014 / v1.0 EW-7438RPn Mini 安 裝 指 南 07-2014 / v1.0 I. 產 品 資 訊 I-1. 包 裝 內 容 - EW-7438RPn Mini - CD 光 碟 ( 快 速 安 裝 指 南 及 使 用 者 手 冊 ) - 快 速 安 裝 指 南 - 連 線 密 碼 卡 I-2. 系 統 需 求 - 無 線 訊 號 延 伸 / 無 線 橋 接 模 式 : 使 用 現 有 2.4GHz

More information

Introduction to CFD Analysis

Introduction to CFD Analysis Introduction to CFD Analysis Introductory FLUENT Training 2006 ANSYS, Inc. All rights reserved. 2006 ANSYS, Inc. All rights reserved. 2-2 What is CFD? Computational fluid dynamics (CFD) is the science

More information

High Performance Computing in CST STUDIO SUITE

High Performance Computing in CST STUDIO SUITE High Performance Computing in CST STUDIO SUITE Felix Wolfheimer GPU Computing Performance Speedup 18 16 14 12 10 8 6 4 2 0 Promo offer for EUC participants: 25% discount for K40 cards Speedup of Solver

More information

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214 Abstract: For years,

More information

CastNet: Modelling platform for open source solver technology

CastNet: Modelling platform for open source solver technology CastNet: Modelling platform for open source solver technology. DHCAE Tools GmbH Address: Friedrich-Ebert-Str. 368, 47800 Krefeld, Germany / Company site: Alte Rather Str. 207 / 47802 Krefeld Phone +49

More information

2015 年 12 月 大 学 英 语 六 级 考 试 真 题 优 化 卷 ( 第 二 套 ) 答 题 卡 1

2015 年 12 月 大 学 英 语 六 级 考 试 真 题 优 化 卷 ( 第 二 套 ) 答 题 卡 1 2015 年 12 月 大 学 英 语 六 级 考 试 真 题 优 化 卷 ( 第 二 套 ) 答 题 卡 1 考 生 填 写 答 案 后, 下 载 烤 鱿 鱼 英 语 四 六 级 APP, 通 过 模 考 部 分 拍 照 上 传 答 题 卡 即 可 获 取 自 动 评 分 和 答 案 解 析 拍 摄 答 题 卡 注 意 事 项 : 1. 黑 色 边 框 要 完 全 进 入 拍 摄 范 围 2. 拍

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

The waveguide adapter consists of a rectangular part smoothly transcending into an elliptical part as seen in Figure 1.

The waveguide adapter consists of a rectangular part smoothly transcending into an elliptical part as seen in Figure 1. Waveguide Adapter Introduction This is a model of an adapter for microwave propagation in the transition between a rectangular and an elliptical waveguide. Such waveguide adapters are designed to keep

More information

Balun Parameter Definitions & Measurement May 2004

Balun Parameter Definitions & Measurement May 2004 Balun Parameter Definitions & Measurement May 2004 Differential circuits are becoming more widely used in RF circuits for the same reason that they have been used for years in lower frequency circuits.

More information

Lecture 7 - Meshing. Applied Computational Fluid Dynamics

Lecture 7 - Meshing. Applied Computational Fluid Dynamics Lecture 7 - Meshing Applied Computational Fluid Dynamics Instructor: André Bakker http://www.bakker.org André Bakker (2002-2006) Fluent Inc. (2002) 1 Outline Why is a grid needed? Element types. Grid types.

More information

Terms and Conditions of Purchase- Bosch China [ 采 购 通 则 博 世 ( 中 国 )]

Terms and Conditions of Purchase- Bosch China [ 采 购 通 则 博 世 ( 中 国 )] 1. General 总 则 Our Terms and Conditions of Purchase shall apply exclusively; Business terms and conditions of the Supplier conflicting with or Supplier s deviating from our Terms and Conditions of Purchase

More information

POWER FORUM, BOLOGNA 20-09-2012

POWER FORUM, BOLOGNA 20-09-2012 POWER FORUM, BOLOGNA 20-09-2012 Convertitori DC/DC ad alta densità di potenza e bassa impedenza termica. Massimo GAVIOLI. Senior Field Application Engineer. Intersil SIMPLY SMARTER Challenges when Designing

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

State of the Art in EM Software for Microwave Engineers

State of the Art in EM Software for Microwave Engineers State of the Art in EM Software for Microwave Engineers White Paper Authors: Jan Van Hese, Agilent Technologies, Inc. Jeannick Sercu, Agilent Technologies, Inc. Davy Pissoort, Agilent Technologies, Inc.

More information

ANSYS Meshing User's Guide

ANSYS Meshing User's Guide ANSYS Meshing User's Guide ANSYS, Inc. Southpointe 275 Technology Drive Canonsburg, PA 15317 ansysinfo@ansys.com http://www.ansys.com (T) 724-746-3304 (F) 724-514-9494 Release 13.0 November 2010 ANSYS,

More information