Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit

Size: px
Start display at page:

Download "Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit"

Transcription

1 Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Martin Biehl Ecole d'électronique numérique Fréjus 27.Nov.2012

2 Agenda 1. Key Design Challenges 2. DDR3 Design-In IP 3. DDR3 Design-In Flow & Methodology Cadence Design Systems, Inc. All rights reserved.

3 Key Design Challenges for DDR3 Timing Budget Signal Quality DDR3 Board and IC Package design Large solution space To be explored Component parameters and selection Stack-up and Layout Cadence Design Systems, Inc. All rights reserved.

4 Key Design Challenges - Timing Budget Set-up / Hold Times Data write w.r.t strobe Data read w.r.t strobe Addressing w.r.t clock Strobe w.r.t clock Data w.r.t Address Account for Clock/Strobe Jitters and Interconnect Jitters Slew-rates and hence derating of setup/hold Cadence Design Systems, Inc. All rights reserved.

5 Key Design Challenges - Signal Quality Thresholds DC and AC Noise-Margins Overshoots/Undershoots Magnitude Area tvac Minimum time for signal to stay above threshold Eye Data-Valid Window after accounting Jitter Slews that in-turn affect timing Rise/Fall times Cadence Design Systems, Inc. All rights reserved.

6 Key Design Challenges - Component Selection Memory-Buffers Trade-off between read-write cycles Controller Driver strength Trade-off between read-write cycles Connector Insertion loss Strobe/Clock differential buffers Should satisfy tdvac and overshoot/undershoot area requirements Cadence Design Systems, Inc. All rights reserved.

7 Key Design Challenges - Layout Constraints Trace-lengths Relational Propagation-delays Data-Strobe for balanced setup/hold Relational Propagation-delays Address-Clock for balance setup/hold Relational Propagation-delays Strobe-Clock for successful write-leveling Topology schedules Point to Point for Data FlyBy for Address Trace Impedance Example: Lead-in section (45 ohm) to Load-in section (60 ohm) through neck-down (~5 to 10 mm) for clock Percentage variation that can be tolerated Differential matching (CLK, STROBE) Maximum unparallel length Cadence Design Systems, Inc. All rights reserved.

8 DDR3 Design-In Kit Content: Design-In IP! Controller IO+ IC Package Model Timing/Deration Model Connector Model Memory Model Scripts, Utilities & Documents Electrical Constraints DIMM topology Cadence Design Systems, Inc. All rights reserved.

9 DDR3 Design-In IP Frequency: 800/1066/1333/1600 DIMM-Type: A to F Configuration: 1-slot/2-slot/on-board Addressing: IT/2T AC-Threshold levels:150/175 Slew-rate based derating Cadence Design Systems, Inc. All rights reserved.

10 DDR-3 Design-In Flow & Methodology Building Project Timing Verification Timing Estimation ECset generation IO-model selection Bus-Analysis SI solution space Allegro PCB SI 16.5 EMA TimingDesigner 9.2.5

11 Building Project Frequency of operation and AC threshold levels Configures TD models Configures custom measurements Address (1T / 2T) Configures TD models New DIMMs (Or On-board) vs Existing DIMMs Pre-created Topologies vs Extracted DIMM topologies DIMM Card Type Configures topologies and ECSets Cadence Design Systems, Inc. All rights reserved.

12 Timing estimation Estimate Etchdelays of datastrobe-clockaddress such that all timing constraints are met Balance setup/hold is achieved interconnect jitter is tolerated Constraint TDQSS TDSH TDSS TDS TDH TDIPW TDQSH TDQSL TCH TCL Description Strobe rising time relative to rising clock edge. Strobe falling edge setup time to rising clock edge. Strobe falling edge hold time to rising clock edge. Data setup time Data hold time Data pulse width Strobe output high pulse width time. Strobe output low pulse width time. Clock high pulse width time. Clock low pulse width time Cadence Design Systems, Inc. All rights reserved.

13 IO-model selection/exploration - Card A with Card B Cadence Design Systems, Inc. All rights reserved.

14 Relational topologies - Refine etch-delays for strobe centering Cadence Design Systems, Inc. All rights reserved.

15 Timing Verification after SI-annotation Re-verify timing after import of SI propagation-delays Cadence Design Systems, Inc. All rights reserved.

16 Setting up ECSets Propagation Delays Impedance RPD Max Parallel Cadence Design Systems, Inc. All rights reserved.

17 Bus Analysis Use Signal Jitter & Offset from TimingDesigner diagrams Use DDR3 derate-file for setup/hold margins Cadence Design Systems, Inc. All rights reserved.

18 DDR3 Design-In IP The Cadence way to explore and implement the protocol Etch-delay estimation for timing Pre-layout Signal- Integrity to Timingclosure Signal-Integrity checks using estimated etch- delays Generation of layout constraints for board routing based on SI topologies Etch-length and Buffer strength (ODT) refinement for better eye Post-layout Bus simulations and verification Cadence Design Systems, Inc. All rights reserved.

19 DDR-3 design-in kit - Reverse-engineer a board Pick DDR3 board Designed at 800Mbps Timing Closure against 1333 Mbps data-rate Timing model Extract topology ECset generation SI verification and exploration For 1333 Mbps Bus-Analysis on Updated board Cadence Design Systems, Inc. All rights reserved.

20 DDR-3 design-in kit - Decide IO-buffer timing parameters DDR3 reference board SI extraction of nets -Propagation delays -Estimated Xtalk -Stack-up variation Timing Exploration for Buffer -TCO delays -Write-leveling delays -PLL jitter / DCD SI verification using SPICE IO-buffer models Cadence Design Systems, Inc. All rights reserved.

21 DDR3-design in kit Full version (IP560): Can be customized and applied to designs DDR3 custom-measurements and Eye-masks Generic IBIS IO buffers for DDR3 controller and Memory Basic Timing-models for different speed-bins: 800, 1333, 1600Mhz Simulation patterns and setup/hold derate-file Post-route flow with Reference Mother-board and DIMM Pre-route flow using pre-created topologies corresponding to different DIMM cards ECSet templates for guiding routing of boards Enhanced Timing-models Write-leveling Support for separate package, board, dimm delays Auto-configuration based on DIMM types Hierarchical IC / PCB timing-libraries Over-clock speed-bins: 1866, 2133Mhz TSMC 28n 2400Mhz IBIS buffers corresponding to Cadence DDR3/4 PHY Reference Package Cadence Design Systems, Inc. All rights reserved.

22 DDR3-design in kit Full version (Educational version): Cannot be modified for use in designs DDR3 custom-measurements and Eye-masks Generic IBIS IO buffers for DDR3 controller and Memory Basic Timing-models for different speed-bins: 800, 1333, 1600Mhz Simulation patterns and setup/hold derate-file Post-route flow with Reference Mother-board and DIMM Pre-route flow using pre-created topologies corresponding to different DIMM cards ECSet templates for guiding routing of boards Enhanced Timing-models Write-leveling Support for separate package, board, dimm delays Auto-configuration based on DIMM types Hierarchical IC / PCB timing-libraries Over-clock speed-bins: 1866, 2133Mhz TSMC 28n 2400Mhz IBIS buffers corresponding to Cadence DDR3/4 PHY Reference Package Cadence Design Systems, Inc. All rights reserved.

23 DDR3-design in kit Lite version (Web download): Abridged version available as demo-vehicle DDR3 custom-measurements and Eye-masks Generic IBIS IO buffers for DDR3 controller and Memory Basic Timing-models for different speed-bins: 800, 1333, 1600Mhz Simulation patterns and setup/hold derate-file Post-route flow with Reference Mother-board and DIMM Pre-route flow using pre-created topologies corresponding to different DIMM cards ECSet templates for guiding routing of boards Enhanced Timing-models Write-leveling Support for separate package, board, dimm delays Auto-configuration based on DIMM types Hierarchical IC / PCB timing-libraries Over-clock speed-bins: 1866, 2133Mhz TSMC 28n 2400Mhz IBIS buffers corresponding to Cadence DDR3/4 PHY Reference Package Cadence Design Systems, Inc. All rights reserved.

24 Cadence Design Systems, Inc. All rights reserved.

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014 Sentinel-SSO: Full DDR-Bank Power and Signal Integrity Design Automation Conference 2014 1 Requirements for I/O DDR SSO Analysis Modeling Package and board I/O circuit and layout PI + SI feedback Tool

More information

Streamlining the creation of high-speed interconnect on digital PCBs

Streamlining the creation of high-speed interconnect on digital PCBs Streamlining the creation of high-speed interconnect on digital PCBs The Cadence integrated high-speed design and analysis environment streamlines creation of high-speed interconnect on digital PCBs. A

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information

Technical Note Design Guide for Two DDR3-1066 UDIMM Systems

Technical Note Design Guide for Two DDR3-1066 UDIMM Systems Introduction Technical Note Design Guide for Two DDR3-1066 UDIMM Systems Introduction DDR3 memory systems are very similar to DDR2 memory systems. One noteworthy difference is the fly-by architecture used

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

Hardware and Layout Design Considerations for DDR4 SDRAM Memory Interfaces

Hardware and Layout Design Considerations for DDR4 SDRAM Memory Interfaces Freescale Semiconductor Document Number: AN5097 Application Note Rev. 0, 04/2015 Hardware and Layout Design Considerations for DDR4 SDRAM Memory Interfaces 1 About this document This document provides

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

Managing High-Speed Clocks

Managing High-Speed Clocks Managing High-Speed s & Greg Steinke Director, Component Applications Managing High-Speed s Higher System Performance Requires Innovative ing Schemes What Are The Possibilities? High-Speed ing Schemes

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC6 74C/CT/CU/CMOS Logic Family Specifications The IC6 74C/CT/CU/CMOS Logic Package Information The IC6 74C/CT/CU/CMOS

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

Fairchild Solutions for 133MHz Buffered Memory Modules

Fairchild Solutions for 133MHz Buffered Memory Modules AN-5009 Fairchild Semiconductor Application Note April 1999 Revised December 2000 Fairchild Solutions for 133MHz Buffered Memory Modules Fairchild Semiconductor provides several products that are compatible

More information

Application Note for General PCB Design Guidelines for Mobile DRAM

Application Note for General PCB Design Guidelines for Mobile DRAM SEC-Mobile-UtRAM Application Note for General PCB Design Guidelines for Mobile DRAM Version 1.0, May 2009 Samsung Electronics Copyright c 2009 Samsung Electronics Co., LTD. Copyright 2009 Samsung Electronics

More information

Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer

Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer Jitter in PCIe application on embedded boards with PLL Zero delay Clock buffer Hermann Ruckerbauer EKH - EyeKnowHow 94469 Deggendorf, Germany Hermann.Ruckerbauer@EyeKnowHow.de Agenda 1) PCI-Express Clocking

More information

Moving Higher Data Rate Serial Links into Production Issues & Solutions. Session 8-FR1

Moving Higher Data Rate Serial Links into Production Issues & Solutions. Session 8-FR1 Moving Higher Data Rate Serial Links into Production Issues & Solutions Session 8-FR1 About the Authors Donald Telian is an independent Signal Integrity Consultant. Building on over 25 years of SI experience

More information

Design Implementation of DDR2 / DDR3 Interfaces From a PCB Designer Perspective in Cadence Allegro

Design Implementation of DDR2 / DDR3 Interfaces From a PCB Designer Perspective in Cadence Allegro Design Implementation of DDR2 / DDR3 Interfaces From a PCB Designer Perspective in Cadence Allegro Michael Catrambone Product Validation Engineer RTP IPC Designers Council March Chapter Meeting Cisco Systems,

More information

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan.

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. An Advanced Behavioral Buffer Model With Over-Clocking Solution Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. 31, 2014 Agenda 1. SPICE Model and Behavioral Buffer Model 2. Over-Clocking

More information

Table 1 SDR to DDR Quick Reference

Table 1 SDR to DDR Quick Reference TECHNICAL NOTE TN-6-05 GENERAL DDR SDRAM FUNCTIONALITY INTRODUCTION The migration from single rate synchronous DRAM (SDR) to double rate synchronous DRAM (DDR) memory is upon us. Although there are many

More information

Memory Module Specifications KVR667D2D4F5/4G. 4GB 512M x 72-Bit PC2-5300 CL5 ECC 240-Pin FBDIMM DESCRIPTION SPECIFICATIONS

Memory Module Specifications KVR667D2D4F5/4G. 4GB 512M x 72-Bit PC2-5300 CL5 ECC 240-Pin FBDIMM DESCRIPTION SPECIFICATIONS Memory Module Specifications KVR667DD4F5/4G 4GB 5M x 7-Bit PC-5300 CL5 ECC 40- FBDIMM DESCRIPTION This document describes s 4GB (5M x 7-bit) PC-5300 CL5 SDRAM (Synchronous DRAM) fully buffered ECC dual

More information

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

it4036f 120-ps Wideband Phase Delay Description Features Device Diagram Timing Diagram

it4036f 120-ps Wideband Phase Delay Description Features Device Diagram Timing Diagram Description The it436f is an ultra-wideband phase delay with an ECL topology to ensure high-speed operation that accepts either single-ended or differential data input. Its high output voltage, excellent

More information

DDR SDRAM Memory Termination USING THE LX1672 AND LX1673 FOR DDR SDRAM MEMORY TERMINATION INTEGRATED PRODUCTS. Microsemi

DDR SDRAM Memory Termination USING THE LX1672 AND LX1673 FOR DDR SDRAM MEMORY TERMINATION INTEGRATED PRODUCTS. Microsemi AN-17 USING THE LX1672 AND LX1673 FOR DDR SDRAM MEMORY TERMINATION LX1672 Protected by US Patents: 6,285,571 & 6,292,378 INTEGRATED PRODUCTS Page 1 TABLE OF CONTENTS 1.0 INTRODUCTION... 3 2.0 SDR vs DDR

More information

Calibration Techniques for High- Bandwidth Source-Synchronous Interfaces

Calibration Techniques for High- Bandwidth Source-Synchronous Interfaces DesignCon 2007 Calibration Techniques for High- Bandwidth Source-Synchronous Interfaces Manoj Roge, Altera Corporation Andy Bellis, Altera Corporation Phil Clarke, Altera Corporation Joseph Huang, Altera

More information

Realize Your Product Promise. DesignerSI

Realize Your Product Promise. DesignerSI Realize Your Product Promise DesignerSI Choose the integrated circuit, system and EM field simulation tool that sets the standard for accuracy. DesignerSI delivers easy signal-integrity, power-integrity

More information

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s PCIEC-85 PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark

More information

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation 3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation Runjing Zhou Inner Mongolia University E mail: auzhourj@163.com Jinsong Hu Cadence Design Systems E mail: jshu@cadence.com 17th IEEE Workshop

More information

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND DATASHEET IDT5P50901/2/3/4 Description The IDT5P50901/2/3/4 is a family of 1.8V low power, spread spectrum clock generators capable of reducing EMI radiation from an input clock. Spread spectrum technique

More information

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Six

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

IDT80HSPS1616 PCB Design Application Note - 557

IDT80HSPS1616 PCB Design Application Note - 557 IDT80HSPS1616 PCB Design Application Note - 557 Introduction This document is intended to assist users to design in IDT80HSPS1616 serial RapidIO switch. IDT80HSPS1616 based on S-RIO 2.0 spec offers 5Gbps

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

FS1140 & FS1141 DDR Protocol Checking & Performance Tool. FuturePlus Systems. Power Tools For Bus Analysis

FS1140 & FS1141 DDR Protocol Checking & Performance Tool. FuturePlus Systems. Power Tools For Bus Analysis FS1140 & FS1141 DDR Protocol Checking & Performance Tool FuturePlus Systems Power Tools For Bus Analysis Overview The FS1140 & FS1141 are new DDR Protocol Checking and Performance Tools that work in conjunction

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Link-65 MHz, +3.3V LVDS Transmitter 24-Bit Flat Panel Display (FPD) Link-65 MHz

Link-65 MHz, +3.3V LVDS Transmitter 24-Bit Flat Panel Display (FPD) Link-65 MHz DS90C383A/DS90CF383A +3.3V Programmable LVDS Transmitter 24-Bit Flat Panel Display (FPD) Link-65 MHz +3.3V LVDS Transmitter 24-Bit Flat Panel Display (FPD) Link-65 MHz 1.0 General Description The DS90C383A/DS90CF383A

More information

Cadence PCB Signal and Power Integrity technology

Cadence PCB Signal and Power Integrity technology DATASHEET Cadence PCB Signal and Power Integrity Cadence provides integrated high-speed design and analysis environment for streamlining the creation of high-speed interconnect on digital printed circuit

More information

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing.

Objective. Testing Principle. Types of Testing. Characterization Test. Verification Testing. VLSI Design Verification and Testing. VLSI Design Verification and Testing Objective VLSI Testing Mohammad Tehranipoor Electrical and Computer Engineering University of Connecticut Need to understand Types of tests performed at different stages

More information

Technical Note. DDR3 Point-to-Point Design Support. Introduction. TN-41-13: DDR3 Point-to-Point Design Support. Introduction

Technical Note. DDR3 Point-to-Point Design Support. Introduction. TN-41-13: DDR3 Point-to-Point Design Support. Introduction Technical Note DDR3 Point-to-Point Design Support TN-41-13: DDR3 Point-to-Point Design Support Introduction Introduction Point-to-point design layouts have unique memory requirements, and selecting the

More information

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214 Abstract: For years,

More information

DDR3 SDRAM UDIMM MT8JTF12864A 1GB MT8JTF25664A 2GB

DDR3 SDRAM UDIMM MT8JTF12864A 1GB MT8JTF25664A 2GB DDR3 SDRAM UDIMM MT8JTF12864A 1GB MT8JTF25664A 2GB 1GB, 2GB (x64, SR) 240-Pin DDR3 SDRAM UDIMM Features For component data sheets, refer to Micron s Web site: www.micron.com Features DDR3 functionality

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

PLAS: Analog memory ASIC Conceptual design & development status

PLAS: Analog memory ASIC Conceptual design & development status PLAS: Analog memory ASIC Conceptual design & development status Ramón J. Aliaga Instituto de Física Corpuscular (IFIC) Consejo Superior de Investigaciones Científicas (CSIC) Universidad de Valencia Vicente

More information

Automated EMC Rule Checking for PCB Designs in the Real-World

Automated EMC Rule Checking for PCB Designs in the Real-World Automated EMC Rule Checking for PCB Designs in the Real-World Bruce Archambeault, PhD IEEE Fellow Archambeault EMI/EMC Enterprises Missouri University of Science & Technology Adjunct Professor IBM Distinguished

More information

AN10950. LPC24XX external memory bus example. Document information

AN10950. LPC24XX external memory bus example. Document information Rev. 1.1 9 November 2012 Application note Document information Info Content Keywords LPC24XX, EMC, memory, SDRAM, SRAM, flash Abstract This application note will detail an example design illustrating how

More information

DATA SHEET. HEF40374B MSI Octal D-type flip-flop with 3-state outputs. For a complete data sheet, please also download: INTEGRATED CIRCUITS

DATA SHEET. HEF40374B MSI Octal D-type flip-flop with 3-state outputs. For a complete data sheet, please also download: INTEGRATED CIRCUITS INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC04 LOCMOS HE4000B Logic Family Specifications HEF, HEC The IC04 LOCMOS HE4000B Logic Package Outlines/Information HEF,

More information

Constraint driven design using OrCAD PCB design tools

Constraint driven design using OrCAD PCB design tools Constraint driven design using OrCAD PCB design tools Rev 1.00 2010 Nordcad Systems A/S About the author Ole Ejlersen, CTO Nordcad Systems A/S a Cadence Channel Partner Master in Electronics Engineering

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SEET For a complete data sheet, please also download: The IC06 74C/CT/CU/CMOS ogic Family Specifications The IC06 74C/CT/CU/CMOS ogic Package Information The IC06 74C/CT/CU/CMOS

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16

VITESSE SEMICONDUCTOR CORPORATION. 16:1 Multiplexer. Timing Generator. CMU x16 Features 16:1 2.488 Gb/s Multiplexer Integrated PLL for Clock Generation - No External Components 16-bit Wide, Single-ended, ECL 100K Compatible Parallel Data Interface 155.52 MHz Reference Clock Frequency

More information

Using the HT46R46 I/O Ports to Implement Half-Duplex SPI Communication

Using the HT46R46 I/O Ports to Implement Half-Duplex SPI Communication Using the HT46R46 I/O Ports to Implement Half-Duplex SPI Communication D/N: HA0150E Introduction This application explains how to use two I/O lines on the HT46R46 to implement half-duplex SPI communication.

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow

Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow Bradley R. Quinton Dept. of Electrical and Computer Engineering University of British Columbia bradq@ece.ubc.ca

More information

GR2DR4B-EXXX/YYY/LP 1GB & 2GB DDR2 REGISTERED DIMMs (LOW PROFILE)

GR2DR4B-EXXX/YYY/LP 1GB & 2GB DDR2 REGISTERED DIMMs (LOW PROFILE) GENERAL DESCRIPTION The Gigaram is a 128M/256M bit x 72 DDDR2 SDRAM high density JEDEC standard ECC Registered memory module. The Gigaram consists of eighteen CMOS 128MX4 DDR2 for 1GB and thirty-six CMOS

More information

Power Delivery Network (PDN) Analysis

Power Delivery Network (PDN) Analysis Power Delivery Network (PDN) Analysis Edoardo Genovese Importance of PDN Design Ensure clean power Power Deliver Network (PDN) Signal Integrity EMC Limit Power Delivery Network (PDN) VRM Bulk caps MB caps

More information

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features

2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06. Features DATASHEET 2 TO 4 DIFFERENTIAL PCIE GEN1 CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

DDR3 DIMM Slot Interposer

DDR3 DIMM Slot Interposer DDR3 DIMM Slot Interposer DDR3-1867 Digital Validation High Speed DDR3 Digital Validation Passive 240-pin DIMM Slot Interposer Custom Designed for Agilent Logic Analyzers Compatible with Agilent Software

More information

CHIP-PKG-PCB Co-Design Methodology

CHIP-PKG-PCB Co-Design Methodology CHIP-PKG-PCB Co-Design Methodology Atsushi Sato Yoshiyuki Kimura Motoaki Matsumura For digital devices integrating an image-processing LSI, performance improvement, cost cutting and reduction of the time

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL

isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL isim ACTIVE FILTER DESIGNER NEW, VERY CAPABLE, MULTI-STAGE ACTIVE FILTER DESIGN TOOL Michael Steffes Sr. Applications Manager 12/15/2010 SIMPLY SMARTER Introduction to the New Active Filter Designer Scope

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Address Summary Table: 128MB 256MB 512MB 1GB 2GB Module

Address Summary Table: 128MB 256MB 512MB 1GB 2GB Module 12MB - WD1SN12X0 256MB - WD1SN256X0 512MB - WD1SN512X0 1GB - WD1SN01GX0 2GB - WD1SN02GS0 (Stacked) Features: 200-pin Unbuffered Non-ECC DDR SDRAM SODIMM for DDR-266, DDR-333, DDR-400 JEDEC standard VDD=2.5V

More information

RClamp0522PA RClamp0524PA

RClamp0522PA RClamp0524PA PROTECTION PRODUCTS - RailClamp Description RailClamp TVS arrays are ultra low capacitance ESD protection devices designed to protect high speed data interfaces. This series has been specifically designed

More information

Wideband Driver Amplifiers

Wideband Driver Amplifiers The driver amplifier is a wideband, 1 khz to 4 GHz amplifier intended for use in broadband microwave and high data rate systems. The is a 3-stage high output power modulator driver amplifier that can provide

More information

Switch board datasheet EB007-00-1

Switch board datasheet EB007-00-1 Switch board datasheet EB007-00-1 Contents 1. About this document... 2 2. General information... 3 3. Board layout... 4 4. Testing this product... 5 5. Circuit description... 6 Appendix 1 Circuit diagram

More information

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Electromagnetic interference (EMI), once the exclusive concern of equipment designers working with high-speed signals, is no longer

More information

Semiconductor Device Technology for Implementing System Solutions: Memory Modules

Semiconductor Device Technology for Implementing System Solutions: Memory Modules Hitachi Review Vol. 47 (1998), No. 4 141 Semiconductor Device Technology for Implementing System Solutions: Memory Modules Toshio Sugano Atsushi Hiraishi Shin ichi Ikenaga ABSTRACT: New technology is producing

More information

css Custom Silicon Solutions, Inc.

css Custom Silicon Solutions, Inc. css Custom Silicon Solutions, Inc. CSS555(C) CSS555/ PART DESCRIPTION The CSS555 is a micro-power version of the popular 555 Timer IC. It is pin-for-pin compatible with the standard 555 timer and features

More information

Connector Launch Design Guide

Connector Launch Design Guide WILD RIVER TECHNOLOGY LLC Connector Launch Design Guide For Vertical Mount RF Connectors James Bell, Director of Engineering 4/23/2014 This guide will information on a typical launch design procedure,

More information

SPREAD SPECTRUM CLOCK GENERATOR. Features

SPREAD SPECTRUM CLOCK GENERATOR. Features DATASHEET ICS7152 Description The ICS7152-01, -02, -11, and -12 are clock generators for EMI (Electro Magnetic Interference) reduction (see below for frequency ranges and multiplier ratios). Spectral peaks

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

DATA SHEET. HEF4508B MSI Dual 4-bit latch. For a complete data sheet, please also download: INTEGRATED CIRCUITS

DATA SHEET. HEF4508B MSI Dual 4-bit latch. For a complete data sheet, please also download: INTEGRATED CIRCUITS INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC04 LOCMOS HE4000B Logic Family Specifications HEF, HEC The IC04 LOCMOS HE4000B Logic Package Outlines/Information HEF,

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

Photolink- Fiber Optic Receiver PLR135/T1

Photolink- Fiber Optic Receiver PLR135/T1 Features High PD sensitivity optimized for red light Data : NRZ signal Low power consumption for extended battery life Built-in threshold control for improved noise Margin The product itself will remain

More information

MPC8245/MPC8241 Memory Clock Design Guidelines: Part 1

MPC8245/MPC8241 Memory Clock Design Guidelines: Part 1 Freescale Semiconductor AN2164 Rev. 4.1, 03/2007 MPC8245/MPC8241 Memory Clock Design Guidelines: Part 1 by Esther C. Alexander RISC Applications, CPD Freescale Semiconductor, Inc. Austin, TX This application

More information

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer

Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Application Note: Spartan-6 FPGAs XAPP1064 (v1.2) November 19, 2013 Source-Synchronous Serialization and Deserialization (up to 1050 Mb/s) Author: NIck Sawyer Summary Spartan -6 devices contain input SerDes

More information

Using Pre-Emphasis and Equalization with Stratix GX

Using Pre-Emphasis and Equalization with Stratix GX Introduction White Paper Using Pre-Emphasis and Equalization with Stratix GX New high speed serial interfaces provide a major benefit to designers looking to provide greater data bandwidth across the backplanes

More information

Enabling Cloud Computing and Server Virtualization with Improved Power Efficiency

Enabling Cloud Computing and Server Virtualization with Improved Power Efficiency Enabling Cloud Computing and Server Virtualization with Improved Power Efficiency I. Enabling cloud computing and server virtualization without power penalties Enterprise servers are the workhorses of

More information

Guidelines for Designing High-Speed FPGA PCBs

Guidelines for Designing High-Speed FPGA PCBs Guidelines for Designing High-Speed FPGA PCBs February 2004, ver. 1.1 Application Note Introduction Over the past five years, the development of true analog CMOS processes has led to the use of high-speed

More information

AND8336. Design Examples of On Board Dual Supply Voltage Logic Translators. Prepared by: Jim Lepkowski ON Semiconductor. http://onsemi.

AND8336. Design Examples of On Board Dual Supply Voltage Logic Translators. Prepared by: Jim Lepkowski ON Semiconductor. http://onsemi. Design Examples of On Board Dual Supply Voltage Logic Translators Prepared by: Jim Lepkowski ON Semiconductor Introduction Logic translators can be used to connect ICs together that are located on the

More information

10/100BASE-T Copper Transceiver Small Form Pluggable (SFP), 3.3V 100 Mbps Fast Ethernet. Features. Application

10/100BASE-T Copper Transceiver Small Form Pluggable (SFP), 3.3V 100 Mbps Fast Ethernet. Features. Application Features Compliant with IEEE 802.3u standard Link distance at 100Mbps: up to 100m per IEEE802.3 EEPROM with serial ID functionality Detailed product information in EEPROM Industry standard small form pluggable

More information

ACR120 Technical Specifications version 2.9 November 2005

ACR120 Technical Specifications version 2.9 November 2005 Version 2.9 11-2005, Email: info@acs.com.hk Website: www.acs.com.hk ACR120 Contactless Reader/Writer 1.0 Introduction The ACR120 is a compact and cost-effective contactless reader and writer. It is developed

More information

Clock Generator Specification for AMD64 Processors

Clock Generator Specification for AMD64 Processors Clock Generator Specification for AMD64 Processors Publication # 24707 Revision: 3.08 Issue Date: September 2003 2002, 2003 Advanced Micro Devices, Inc. All rights reserved. The contents of this document

More information

AXI Performance Monitor v5.0

AXI Performance Monitor v5.0 AXI Performance Monitor v5.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Advanced Mode...................................................................

More information

Using a Generic Plug and Play Performance Monitor for SoC Verification

Using a Generic Plug and Play Performance Monitor for SoC Verification Using a Generic Plug and Play Performance Monitor for SoC Verification Dr. Ambar Sarkar Kaushal Modi Janak Patel Bhavin Patel Ajay Tiwari Accellera Systems Initiative 1 Agenda Introduction Challenges Why

More information

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE Progress In Electromagnetics esearch C, Vol. 42, 191 203, 2013 CONDUCTED EMISSION MEASUEMENT OF A CELL PHONE POCESSO MODULE Fayu Wan *, Junxiang Ge, and Mengxiang Qu Nanjing University of Information Science

More information

NI 6601/6602. Contents CALIBRATION PROCEDURE. ni.com/manuals

NI 6601/6602. Contents CALIBRATION PROCEDURE. ni.com/manuals CALIBRATION PROCEDURE NI 6601/6602 Français Deutsch ni.com/manuals This document contains information and instructions for calibrating the National Instruments 6601/6602 data acquisition devices. Contents

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

X8 Option 2 - Technology

X8 Option 2 - Technology moog AC DC AC 4 to 450 A 4 to 210 A Compact MSD Servo Drive TTL in out Specification X8 Option 2 - Technology TTL Encoder Simulation / TTL Master Encoder moog Specification Option 2 - Technology TTL encoder

More information

DS2186. Transmit Line Interface FEATURES PIN ASSIGNMENT

DS2186. Transmit Line Interface FEATURES PIN ASSIGNMENT DS2186 Transmit Line Interface FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks PIN ASSIGNMENT TAIS 1 20 LCLK On chip transmit LBO (line build out) and line drivers

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

Features. DDR3 Unbuffered DIMM Spec Sheet

Features. DDR3 Unbuffered DIMM Spec Sheet Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC3-8500, PC3-10600, PC3-12800

More information