Extremely Scaled Silicon Nano-CMOS Devices

Size: px
Start display at page:

Download "Extremely Scaled Silicon Nano-CMOS Devices"

Transcription

1 Extremely Scaled Silicon Nano-CMOS Devices LELAND CHANG, STUDENT MEMBER, IEEE, YANG-KYU CHOI, DAEWON HA, PUSHKAR RANADE, SHIYING XIONG, JEFFREY BOKOR, FELLOW, IEEE, CHENMING HU, FELLOW, IEEE, AND TSU-JAE KING, SENIOR MEMBER, IEEE Invited Paper Silicon-based CMOS technology can be scaled well into the nanometer regime. High-performance, planar, ultrathin-body devices fabricated on silicon-on-insulator substrates have been demonstrated down to 15-nm gate lengths. We have also introduced the FinFET, a double-gate device structure that is relatively simple to fabricate and can be scaled to gate lengths below 10 nm. In this paper, some of the key elements of these technologies are described, including sublithographic patterning, the effects of crystal orientation and roughness on carrier mobility, gate work function engineering, circuit performance, and sensitivity to process-induced variations. Keywords CMOS, FinFET, metal gate, molybdenum, MOSFET, nanotechnology, scaling, ultrathin body (UTB). I. INTRODUCTION Rapid advances in the semiconductor industry have led to the proliferation of electronic devices and information technology. Integrated circuits (ICs) based upon silicon MOSFETs can perform functions such as computing, signal processing, and information storage efficiently and cheaply, and are, thus, used in virtually every electronic device produced today. Over the past three decades, by reducing transistor gate lengths with each new generation of manufacturing technology, steady improvements in circuit performance (speed) and cost per function have been achieved. However, continued transistor scaling will not be as straightforward in the future as it has been in the past because fundamental materials and process limits are rapidly Manuscript received December 19, 2002; revised June 2, This work was supported by the Semiconductor Research Corporation under Contract 2000-NJ-850 and the Microelectronics Advanced Research Corporation under Contract 2001-MT-887. L. Chang, Y.-K. Choi, D. Ha, P. Ranade, S. Xiong, J. Bokor, and T.-J. King are with the Electrical Engineering and Computer Sciences Department, University of California, Berkeley, CA USA. C. Hu is with the Taiwan Semiconductor Manufacturing Corporation, Hsin-chu, Taiwan 300, R.O.C., on leave from the Electrical Engineering and Computer Science Department, University of California, Berkeley, CA USA. Digital Object Identifier /JPROC Fig. 1. Cross-sectional schematics of various MOSFET structures. (a) the classic bulk-si structure. (b) UTB SOI structure. (c) DG structure. The classic structure utilizes very heavy channel doping (localized to halo regions near to the source/drain junctions) to suppress subthreshold leakage current. This results in degraded carrier mobility and ON current. The advanced structures utilize a very thin channel (thickness T ) to suppress leakage more effectively. being approached [1]. In the future, bulk-si MOSFETs will require high-permittivity (high- ) gate dielectrics and metal gate electrodes, as well as low-resistance ultrashallow junctions, in order to meet the stringent specifications of the International Technology Roadmap for Semiconductors (ITRS) [2]. Techniques such as semiconductor band-gap and strain engineering to improve device transconductance and on-state current may also be required [3]. Advanced MOSFET structures (Fig. 1) such as the ultrathin-body (UTB) silicon-on-insulator (SOI) single-gate transistor and the double-gate (DG) transistor can be scaled more aggressively than the classic bulk-si structure [4], [5] and, hence, may be adapted for IC production as early as the 65-nm technology node (25-nm physical gate length) [2]. However, these advanced structures have distinctly different materials and process technology requirements and associated challenges. This paper begins by discussing the significant circuit performance advantages that advanced transistor structures can provide. Metallic gate electrodes will be necessary in order for these devices to provide the maximum performance benefit over bulk-si MOSFETs; therefore, the development of metal gate technology for fully depleted SOI CMOS devices /03$ IEEE 1860 PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

2 is described. Design considerations, fabrication process details, and measured electrical characteristics are then presented in turn for the UTB and DG structures. Ultimate limits for CMOS scaling are discussed, and practical consideration is then given to the effects of process-induced variations on transistor performance and their implications for manufacturing processes. II. CIRCUIT PERFORMANCE ENHANCEMENT OF THIN-BODY MOSFETS While it may be possible to scale the traditional bulk MOSFET device structure down into the 10-nm gate length regime [6], a heavy channel doping will likely be required to control short-channel effects. This presents a challenge in terms of device fabrication because a heavy halo implant must be localized close to the surface underneath the gate edge. However, even if this is achievable, it will likely result in the degradation of device performance. Because thin-body devices can control short-channel effects with only intrinsic doping in the channel, significant performance enhancements can be expected [7]. In a bulk device with a heavily doped channel, carrier mobility will be severely degraded due to impurity scattering and an increased transverse electric field. Above a concentration of 2 10 cm, mobility is expected to be noticeably affected by channel dopants [8]. In addition, significant depletion charge in the channel will form, thus increasing the average vertical field experienced by a carrier in the inversion layer and increasing the effects of phonon and interface scattering [9]. Furthermore, this increased depletion charge will result in a larger depletion capacitance and subthreshold slope. As a result, for a given off-state leakage current specification, the threshold voltage must be raised, thus reducing the on-state drive current. A large channel doping will also inevitably enhance band-to-band tunneling leakage between the body and drain [10]. This will be especially important because abrupt halo doping profiles in the channel are desirable to localize the heavy channel doping whereas abrupt drain doping profiles are desirable for the reduction of series resistance. Together, these effects will greatly increase band-to-band tunneling, which could eventually become the dominant off-state leakage mechanism in the transistor. In UTB and DG devices, short-channel effects are controlled by a thin silicon film, thus allowing for gate-length scaling down to the 10-nm regime [11] without the use of channel dopants. With a lightly doped channel, DG and UTB devices have negligible depletion charge and capacitance, which yields a steep subthreshold slope. DG devices show even better subthreshold slope than their UTB counterparts due to better short-channel effect control by the DG structure. Lower transverse electric field and negligible impurity scattering contribute to increased mobility that further improves the drive current in both devices. With respect to circuit performance, DG and UTB devices provide an additional advantage in that the capacitive load is decreased by the elimination of both depletion and junction capacitances. Fig. 2. DG maintains a 40% FO4 inverter delay improvement over bulk devices. The UTB improvement disappears at small L because of poor short-channel effect control in a 5-nm body, especially in the PMOS. To meet leakage specifications, V must therefore be extremely high. Fig. 2 quantifies the benefits of DG and UTB devices in terms of inverter gate delay through simulation using realistic device structures based on ITRS specifications [2] for sub-50-nm gate length technology generations. Body thickness requirements for each gate length are derived from scaling rules presented in [12] for DG devices; for single-gate UTB devices, body thicknesses are assumed to be half this value. Mixed-mode device simulation [13] is employed using the energy balance model for carrier transport. Because the full Boltzmann equation is not solved, drain current values may be overestimated [14], but the trends and differences between device technologies should still be valid. Quantum effects, including carrier confinement due to body thickness scaling, which can increase the device threshold voltage [15], and carrier scattering due to quantum charge transport, which may affect current distribution in the channel [16], are not considered. For DG and UTB devices, the enhancement in drive current at a given off-state current specification leads directly to an improvement in inverter delay. An additional speedup ( 5% 10%) results from the elimination of depletion and junction capacitances. As shown in Fig. 2, improvements in fanout of four (FO4) inverter delay over bulk devices are in the 30% 40% range. The amount of improvement shown here is smaller than that reported in [7] due primarily to the realistic doping profiles used. Series resistance is, thus, considered in this work, which lessens the improvements associated with the intrinsic device structure. For all technologies, the DG device shows a larger enhancement over traditional bulk-si MOSFETs than the UTB case because of improved short-channel effects. It is possible, however, that body thickness scaling may be limited to 5 nm [17]. In that case, UTB devices at 25-nm gate lengths and below, which need body thicknesses below 5 nm to adequately control short-channel effects, can show severely degraded device performance. Because DG and UTB devices exhibit better short-channel effects, which become more important at small gate lengths, it could be expected that the delay improvement should increase with technology scaling. However, the value stays relatively constant with technology scaling following ITRS specifications because the roadmap allows a dramatic rise CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1861

3 Fig. 3. V can be lowered in thin-body devices to reduce energy consumption per transition. When the delay is matched to that of bulk, a reduction of up to 60% can be observed. As with delay, the UTB improvement disappears at small gate lengths if T is limited to 5 nm. in the off-state leakage current specification based on the expectations of bulk-si MOSFET scaling. Thin-body devices essentially improve the tradeoff between gate delay and energy consumption. Given a delay specification, the advantage of thin-body MOSFETs can be expressed in terms of energy consumption, since the power supply voltage can be reduced to match the delay of a bulk-si device. Since energy is a quadratic function of the power supply voltage, this can result in a dramatic improvement in energy. In this scenario, thin-body devices can show up to a 60% reduction in energy consumption (Fig. 3). Ideally, since channel dopants are not necessary in thin-body MOSFETs, threshold voltage adjustment is achieved by gate work function engineering. However, until suitable gate materials become available, alternative methods of controlling the threshold voltage may need to be used [11]. The use of channel dopants is one solution to control the threshold voltage; however, this brings problems with reduced mobility and random dopant fluctuations [18]. An asymmetric DG structure can also achieve an appropriate threshold voltage by using n and p polysilicon gates in the DG device; this is, however, at the cost of increased transverse electric field, since a built-in potential exists through the body due to the asymmetric gate work functions. As a result, both channel doping and an asymmetric DG result in degraded device performance (Fig. 4). This emphasizes the need for gate work function engineering as alternative solutions can negate the performance benefits of thin-body devices. III. METAL GATE CMOS TECHNOLOGY For thin-body transistors with undoped channels, gate work functions must be chosen such that the gate Fermi level falls between 0.2 V of (intrinsic Si Fermi level) [11]. These work function values are needed for low and complementary CMOSFET devices. This requirement precludes the use of doped poly-si as the gate electrode. Metallic materials are, thus, likely to replace poly-si for this application beyond the ITRS 45-nm node. Metal gate materials also eliminate problems associated with the gate Fig. 4. Because metal gate work function engineering is difficult, V adjustment in thin-body devices may need to be accomplished through channel doping or the asymmetric DG structure. Both solutions degrade circuit performance, but could still provide slight improvement over bulk. Threshold voltage adjustment in all cases was adjusted to meet roadmap specifications [2]. depletion effect, which increases the effective dielectric thickness, and dopant penetration through the dielectric, which can degrade oxide reliability and shift the device threshold voltage. Over the last few years, research in metal gate CMOS technology has led to the identification of several candidate metals for this application. Several high melting point refractory metals, e.g., W, Ti, Ta, Mo, Nb, Re, Ru, and their binary or ternary metallic derivatives, e.g., WN, TiN, TaN, MoN, and TaSiN, have been investigated [19] [24]. In the most straightforward case, two separate metals with appropriate work functions need to be used on a single Si substrate in order to obtain low and symmetric devices [25]. For minimal process complexity, however, a method for tuning the gate work function over the required range is highly desirable. Such a tunable work function gate CMOS technology is attractive for bulk-si and SOI-CMOS devices alike. From an integration perspective, ion implantation, whether by structural or chemical modification of the gate material, is the simplest method by which to achieve a tunable gate work function technology. There have been several reports on the use of ion implantation to change the work function of thin metal films [19], [26] [28]. Molybdenum (Mo) is an attractive candidate for this application given the strong crystalline anisotropy of its work function [29]. Such anisotropy is generally displayed by most metals and is believed to arise from differences in interatomic spacing and atom plane smoothness with crystal orientation [30]. Mo is particularly attractive given its compatibility with Si CMOS processing, as it can be deposited by physical vapor deposition (PVD) and chemical vapor deposition (CVD), can be etched by conventional reactive ion etching (RIE) chemistry, and is thermodynamically stable on SiO. It has been shown that a high-dose Ar ion implant can selectively amorphize thin Mo films, thus leading to a significant lowering of the work function [26]. Unfortunately, such a purely structural change is seldom permanent, and crystallization upon high-temperature annealing restores the work function to the unimplanted value. Nevertheless, the possibility of locking in amorphous metal states is still a possibility through the simultaneous modification of film chemistry (e.g., implanting another element, e.g., Si, along with Ar) PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

4 Fig. 5. Variation of Mo work function with thermal annealing. All anneals were 15 min long except for the 900 C anneal (15 s). An alternative approach to changing the Mo work function is through selective changes in the film chemistry. Nitrogen implantation has been shown to be an effective way to nitridize the Mo film and controllably lower its work function [26]. As shown in Fig. 5, the Mo work function is dependent on the N implant dose and energy. Chemical changes like nitridation are permanent and continue to evolve with temperature. The Mo work function after N implantation becomes progressively lower with increasing annealing temperature and tends to stabilize between 800 C and 900 C. The range of work functions obtained makes this approach attractive for FDSOI-CMOS applications. The next two sections will illustrate the application of this approach to both UTB (UTBFET) and FinFET fabrication. It is important to evaluate the effects of potential channeling of the N ions into the gate dielectric and the subsequent impact on dielectric reliability. In this context, the use of thin Mo films and low implant energies is beneficial in ensuring tight implant straggles and relatively high atomic fractions of N in the Mo film. The thin Mo films can then be capped with poly-si so that while the of the device is determined by the metal work function, the bulk of the gate electrode is made of doped Si to be more compatible with subsequent processing steps (e.g., gate etch and self-aligned source/drain dopant implants). Recently, the use of large angled implants has also been shown to lower the ion channeling effect [31]. Yet another way to introduce N into thin Mo films with minimal damage to the underlying dielectric is to use a solid sacrificial source for N, e.g., N rich TiN. It was recently shown that Mo films capped with nitrogen-rich TiN can act as sinks for the excess N upon high temperature annealing [32]. The N diffusing into the Mo film segregates at the dielectric interface and serves to change the gate work function. This is an attractive technique and can also be used with other metals and diffusion sources. Finally, it should be emphasized that the search for advanced gate dielectrics needs to be carried out in tandem with that for alternative gate dielectric materials, since it is quite likely that aggressively scaled CMOS devices will employ high-permittivity gate dielectrics instead of the conventional SiO -based gate dielectrics [5]. It was recently shown that Fig. 6. Cross-sectional transmission electron microscopy (TEM) of a 3-nm UTB MOSFET. interfacial metal work functions are dependent on the permittivity of the gate dielectric [33]. Thus, while the potential benefits of metal gate electrodes can be fairly significant, the task of metal selection and process integration is far from trivial and is likely to need major research and development efforts in the coming years. IV. ULTRATHIN BODY SINGLE-GATE MOSFET In this section, we review recent work in our group on the development of the UTB single-gate MOSFET device structure. Fig. 6 shows a transmission electron micrograph depicting a cross-sectional view of a UTB ( 30 nm, 3 nm) single-gate MOSFET. The detailed fabrication processes, which are fully compatible with conventional bulk CMOS processes, have been previously reported in [34]. Fig. 7 shows measured electrical characteristics for an 80-nm gate length UTB n-channel MOSFET 20 nm and a 30-nm gate length UTB p-channel MOSFET 4nm, each with a 2.1-nm gate oxide. High drive current 750 A m for NMOS and 400 A m for PMOS at a 1.0 V gate overdrive V was achieved while short-channel effects are well suppressed. For UTB MOSFETs, short-channel effects are strongly dependent on the body thickness, and can be evaluated by the ratio of the gate length to the body thickness. Fig. 8 shows the measured subthreshold swing (S) and drain-induced barrier lowering (DIBL) across a large sample of devices with gate lengths ranging from 30 to 190 nm and body thicknesses from 4 to 8 nm. Short-channel effects are sufficiently suppressed when the ratio between the two device dimensions is larger than 4. This criterion holds for the measured experimental devices, which have a relatively thick gate oxide (2.1 nm) and low channel doping; the value may be further reduced by scaling the gate-oxide thickness or an increase in the channel doping concentration. As discussed in the previous section, metal gate work function engineering is necessary to control the threshold voltage of UTB MOSFETs. Fig. 9 shows the measured subthreshold characteristics for 300-nm gate length UTB PMOS- FETs 15 nm using pure and nitrogen-implanted Mo gate [27]. The measured Mo-gated PMOS threshold voltage CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1863

5 Fig. 7. Measured electrical characteristics for UTB n-channel MOSFET (L = 80 nm, T = 20 nm) and p-channel MOSFET (L = 30 nm, T = 4 nm) with 2.1-nm-thick gate oxide. Fig. 8. Measured subthreshold swing (S) and DIBL (30 nm <L < 190 nm, and 4 nm <T < 8 nm). The short-channel effects can be evaluated by L =T. All devices have a nominal gate-oxide thickness of 2.1 nm. limit transistor drive currents [35] [37]. By using selective deposition, a raised source/drain structure can be created to reduce the parasitic resistance. One drawback of this structure, however, is that it inevitably results in increased overlap capacitance. Thus, spacer widths between the gates and raised source/drain should be optimized [38]. Several methods have been demonstrated, including selective silicon epitaxial growth [36], selective silicon germanium (SiGe) epitaxial growth [39], and selective germanium (Ge) deposition [40]. Among these approaches, germanium carries additional benefits in higher dopant solid solubility, which can further reduce parasitic resistance, and lower thermal budget for dopant activation, which is promising for highdielectric integration. While traditional silicides cannot be formed when selective Ge is used, promising results on the formation of metal germanides have been obtained [41], [42], which could further reduce the series resistance. High-performance UTB device technologies have also been reported by other research groups [37], [43], [44] (Table 1). In [37], gate lengths down to 6 nm were achieved by the introduction of an ultrathin gate dielectric and strong halo implant, which can further improve the control of short-channel effects. Fig. 9. Measured subthreshold I 0 V characteristics for UTB p-channel MOSFETs using pure and nitrogen-implanted Mo gate. The V of UTB MOSFETs can be effectively adjusted via gate work function engineering. is 0.2 V, and shifts by approximately 65 mv for every 10 cm increment in the N implant dose. In the UTB device structure, the body thickness should be as thin as possible for ultimate scalability; however, the series resistance of the source and drain regions could V. DOUBLE-GATE FINFET The DG device is electrostatically more robust than a single-gate UTB MOSFET because two gates are used to control the channel from both sides, thus allowing for additional gate length scaling by at least a factor of two. The addition of a second gate electrode not only halves the effect body thickness of the device, but also eliminates penetration of the drain electric field through the buried oxide, which improves gate control of the channel. In the past, numerous methods have been proposed and demonstrated to fabricate DG devices [45] [49]; however, many suffer from process complexity. A more practical DG structure, the FinFET, was, thus, proposed [50], [51]. In this device, the gate straddles a thin, fin-shaped body, which forms two self-aligned channels along the sidewalls of the fin. This original FinFET structure, however, still required a 1864 PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

6 Table 1 Performance Comparison of Published UTB MOSFETs Fig. 10. Schematic diagram, SEM, and TEM photographs of FinFET. (a) Schematic diagram after gate patterning. (b) Top view of SEM photograph showing 10-nm fin width and 20-nm gate length after gate patterning. (c) Cross-sectional TEM photograph of 10-nm fin (x-x direction). (d) Cross-sectional TEM photograph (z-z direction) showing selective Ge raised source/drain. complicated fabrication process and resulted in a large overlap capacitance between the gate and source and drain regions. A simpler, more manufacturable process similar to conventional SOI CMOS processes was then developed to create a quasi-planar FinFET structure (Fig. 10) with significantly less gate-to-source/drain overlap capacitance [12], [52] [55]. The FinFET uses a single-gate material deposited over a silicon fin to form perfectly aligned gates along the fin sidewalls. The fin width is the most important process variable because it determines the body thickness, which governs short-channel effects. The off-state leakage current density increases dramatically as the fin width increased because gate control of the channel is worsened [11]. Channel mobility [56] and threshold voltage [40], [57] can also be sensitive to this dimension. It is, thus, important to achieve small and controllable dimensions for the fin width. This can be accomplished using optical or electron beam lithography, but both inevitably lead to critical dimension (CD) variation and line edge roughness. A key issue for the FinFET is that adequate suppression of short-channel effects requires that the fin width be approximately half of the gate length [11], [50] such that a sublithographic patterning technology is needed for fin formation. This is a clear departure from historic device scaling in the gate length is at the limit of lithographic capabilities. We have explored two sublithographic patterning technologies: photoresist ashing followed by oxide hard mask trimming and spacer lithography (Fig. 11). Photoresist ashing by oxygen plasma can be used to reduce the size of resist patterns defined by lithography. Further size reduction can be achieved by additional hard mask oxide trimming in HF. A combination of ashing and trimming can be used to reduce 500-nm line widths down to below 20 nm [58]. Spacer lithography involves the use of a sacrificial layer and a spacer layer [58] [60]. Sacrificial layers to support the spacers are initially defined by conventional lithography and plasma etching. Then, a second thin layer is deposited CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1865

7 Fig. 11. Sublithographic patterning technology: photoresist ashing-hard mask oxide trimming technique and spacer lithography. (a) (d) Process flows of ashing-trimming from 500-nm initial line width to sub-20 nm. (e) Minimum-sized features are defined by thin film deposited by CVD. The spacer lithography doubles pattern density within a given pitch. by CVD and etched back to form spacers. After removal of the sacrificial structures, these spacers are used as an etch mask to transfer the pattern to the substrate by an anisotropic plasma etch. With this process, minimum-sized features as small as 7 nm can be defined [60], [61]. Another feature of spacer lithography is that it can double the pattern density achievable by lithography. This is important in the FinFET structure because in order to obtain higher drive current multiple fins must be placed parallel all straddled by a single-gate line [7]. The achievable fin pitch, thus, determines the amount of layout area required for a device. To further decrease line pitch, this spacer lithography process can be repeated, effectively doubling the line density with each successive iteration (Figs. 11 and 12). As with single-gate UTB MOSFETs, additional FinFET technological challenges include threshold voltage control and parasitic source/drain resistance. We have integrated nitrogen-implanted Mo gate technology [62] and selective germanium deposition for raised source/drain formation [53]. Due to their vertical nature, FinFET devices lie in the (110) plane when oriented parallel and perpendicular to the wafer flat of a standard (100) wafer (Fig. 13). As compared with (100) silicon surfaces, hole mobility is enhanced while electron mobility is degraded in (110) surfaces [63]. These Fig. 12. Multiplication of pattern density by spacer lithography. (a) After patterning sacrificial poly-si layer. (b) After low-temperature oxide (LTO) deposition, LTO spacer etch by CF plasma, and removal of sacrificial poly-si by KOH. (c) After poly-si deposition, poly-si spacer etch by Cl and HBr, and removal of LTO by HF. (d) After LTO deposition, LTO spacer etch by CF plasma, and removal of sacrificial poly-si by KOH.As an etch stop, nitride was deposited before any spacer lithography steps. Eight (= 2 ) lines were generated after repeating the spacer lithography process three times. As shown in (d), the final line width and space was 70 nm and 80 nm, respectively PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

8 source/drain and metal silicide, the performance of DG MOSFETs can exceed that of traditional bulk-si MOSFETs. VI. SCALING LIMITS Fig. 13. Crystal orientation of NMOS and PMOS FinFETs. PMOS FinFETs are parallel or perpendicular to the flat zone, which situates the channel in the (110) plane. NMOS FinFETs can be rotated by 45 in order to use the (100) plane. trends are consistent with previous reports of FinFET dependence on crystal orientation [12]. These anisotropy effects become even more important in trigate [64] or gate-all-around devices [65]. To simultaneously achieve high NMOS and PMOS drive currents, a (100) sidewall surface for NMOS and (110) sidewall surface for PMOS is desirable. One way to implement these two different crystal orientations is to align silicon fins to be perpendicular or parallel to the flat zone of a (100) wafer for PMOS and at a 45 rotation for NMOS as shown in Fig. 13. Such a scheme, which may incur a small area penalty, will depend on lithographic capabilities. Using electron beam lithography, CMOS FinFETs down to below sub-20 nm in gate length ( 20 nm, 10 nm, 2.1 nm) have been fabricated. For these devices, NMOS drive current is 365 A m and PMOS drive current is 270 A mat V V and V V (Fig. 14). The drive current is normalized with twice the fin height [ in Fig. 10(a)]. The relatively low NMOS current is likely caused by the low electron mobility in the (110) surface and by fin sidewall roughness. Furthermore, a raised source/drain was not used for these devices. The drive current could be increased by 28% with a selectively deposited raised Ge source/drain [53]. FinFET short-channel characteristics are shown in Fig. 15(a) (c). Threshold voltage rolloff is improved as the fin width is decreased [Fig. 15(a)]. Saturation subthreshold swing at V V and DIBL at na m were measured for gate lengths ranging from 20 nm to 150 nm and fin widths from 10 nm to 42 nm. When the ratio of the gate length to the fin width is larger than 1.5, the subthreshold slope and DIBL are below 100 mv/dec and 0.1 V/V, respectively, for NMOS and PMOS devices [Fig. 15(b), (c)]. PMOS devices show somewhat worse short-channel effects because boron diffusivity is higher than that of phosphorus, which results in a smaller after rapid thermal annealing for source/drain activation. An added benefit of DG FinFETs is that they show extremely low gate-induced drain leakage (GIDL) current as shown in Fig. 15(d) [66]. The device performance of published DG FinFETs are summarized in Table 2. With an appropriate raised While MOSFET gate length scaling can be extended with the UTB and DG device structures, an ultimate limit will eventually be reached. For digital circuit applications, this limit will be determined by the ability to maintain proper transistor operation namely that an ultimately scaled device must have the capability to be turned both on and off. With scaling of transistor gate lengths, the primary difficulty lies in control of the off-state leakage current, which, if not properly controlled, can be greatly increased by DIBL in the channel of the device. By quantifying the off-state leakage current for the DG MOSFET structure, an estimate of its scaling limit can be obtained. This structure has been shown to be the most scalable transistor design due to its dual-gate nature, in which the two gate electrodes provide significant control of the channel. Thus, evaluation of the scaling limit of the DG structure can be an estimate of the scaling limit of traditional silicon MOSFETs. At very small channel lengths, carrier scattering can be ignored because ballistic transport will occur in the channel. Under this assumption, the off-state leakage current of a MOSFET will be composed of three major mechanisms: thermionic emission above the channel potential barrier, band-to-band tunneling between the body and drain p-n junction, and quantum mechanical tunneling directly between the source and drain. Thermionic emission is primarily controlled by the channel potential barrier height, which is determined by the degree of short-channel effect control in the device structure. Band-to-band tunneling is governed by the electric field across the body/drain junction, which depends upon the gradient of the source/drain doping profiles as well as the applied drain voltage (in the worst case, the supply voltage). Direct tunneling of carriers from the source to drain may occur at extremely small gate lengths because the channel potential barrier width is very small. In this analysis, tunneling leakage current through the gate dielectric is ignored. Under the assumption that highdielectric materials will become available, we investigate only those drain leakage current mechanisms that cannot be avoided. By calculating these three leakage current components, the off-state leakage can be estimated for the DG MOSFET (Fig. 16). Scaling of the gate length results in increased leakage current because gate control of the channel is reduced, thus allowing for increased DIBL. This reduces the barrier height of the channel potential barrier, thus enhancing the thermionic emission, the dominant leakage component. Scaling of the body thickness, however, eliminates leakage paths that are not well controlled by the gate those that are physically far from the gate electrode. As a result, short-channel effects are minimized at small gate lengths, thus reducing leakage by thermionic emission. The scaling limit of DG MOSFETs is, thus, a strong function of the body thickness. Previous work has suggested CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1867

9 Fig. 14. FinFET I-V characteristics. Device dimensions are L = 20 nm, W = 10 nm, and T = 2.1 nm. Fig. 15. FinFET short-channel effects and GIDL. (a) Threshold voltage rolloff versus L. (b) Subthreshold swing versus L =W. (c) DIBL versus L =W. (d) GIDL current. The fabricated FinFET devices show very low GIDL current, which decreases as the fin width is reduced. that the minimum acceptable body thickness for a thin-body MOSFET will be 5 nm [17]. This is because the series resistance in the source and drain regions introduced by such a thin film may become unacceptable even with the incorporation of a raised source/drain technology. In addition, quantum confinement in the thin body may cause an intolerable shift in the device threshold voltage. Furthermore, quantum confinement effects could also impact charge scattering and transport in the on-state [16], which may present a practical limit to device scaling. Thus, a scaling limit for DG MOSFETs can be obtained under the assumption that body thicknesses cannot be scaled below 5 nm. With the additional assumption that equivalent oxide thickness scaling will be limited to 1 nm (due to gate leakage current even with alternative gate dielectric materials), off-state leakage current targets can be met at gate lengths down to 10 nm [11]. This scaling limit is also dependent upon the choice of threshold voltage and the lateral source/drain doping gradient. The value of the scaling limit based upon off-state leakage criteria becomes 1868 PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

10 Table 2 Performance Comparison of Published FinFETs Fig. 16. DIBL improves as the body thickness is reduced, thus lowering off-state leakage current. At a body thickness of 5 nm, a 10-nm gate length DG MOSFET can meet an off-state leakage current target of 160 na=m. The above data assumes a 1-nm/decade lateral source/drain doping gradient, a long-channel threshold voltage of 0.2 V, and a power supply of 0.6 V. smaller as the body thickness and equivalent oxide thickness are decreased, but is raised by an abrupt source/drain doping profile and a low threshold voltage. VII. THE EFFECTS OF PROCESS VARIATIONS Ultimately, scaling of DG MOSFETs may be limited by process controllability. Because the FinFET is a vertical structure, the fin width is susceptible to line width variation and line edge roughness effects. Furthermore, gate oxidation may be affected by sidewall roughness of the fin. We have, thus, studied the sensitivity of several important device parameters to process variation using device simulation [67]. As a specific example, we choose a nominal device with a 20-nm gate length, 5-nm fin width, and 1.0-nm gate-oxide thickness. The device is assumed to be ideal with a adjustable work function metal gate and small spacer widths (parasitic source/drain series resistance is not significant). The device is designed for low power application according to the ITRS roadmap [2] with off-state current of 1 na m for both NMOS and PMOS. The results (Table 3) show that process variation can be tolerable, as the deviation in electrical parameters is comparable to current values seen in the industry today. The device threshold voltage fluctuates significantly with fin width variation due to changes in the degree of short-channel effect control ( rolloff) and quantum confinement effects. The combined effects result in NMOS V (extracted at low drain bias) variation of 16 mv for every nanometer change in body thickness while V (extracted at high drain bias) variation is slightly higher (33 mv/nm) due to DIBL; PMOS values are similar. Gate length and oxide thickness variation have a significantly weaker effect on the threshold voltage because only the short-channel effect is modulated. Using the drift-diffusion model for carrier transport (nonequilibrium and quantum charge transport effects are, thus, ignored), only a moderate dependence of the drive current on the body thickness was observed. A dramatic change in the off-state current ( 5 per nm around 5 nm) is seen due to the exponential dependence of on the threshold voltage. Using these simulation results, a statistical calculation of the values of each electrical parameter was performed. The contributions of each of the physical parameters are assumed to be independent. Threshold voltage variation is in the range of 40 mv while drive current variation is within 8% 10%. The subthreshold swing is 68 6 mv for NMOS and 70 6 mv for PMOS. The highest leakage is five times larger than that of the nominal device. Based on these results, with reasonable assumptions for process variation ( 1nm, 2 nm, and ), device parameter fluctuations can be kept within reason. VIII. CONCLUSION Advanced MOSFET structures such as the UTB SOI MOSFET and the DG MOSFET show promise for scaling CMOS technology to gate lengths below 10 nm to enable continued improvements in IC cost and performance (e.g., THz operating frequencies) for at least 15 more years. Their CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1869

11 Table 3 3 Values of Parameter Variation in FinFET Devices. In Each Case, Two Numbers Are Given: The First Is for NMOS and the Second for PMOS Devices. Nominal Device Parameters aare: L = 20 nm, W = 5 nm, T = 1 nm, N = 10 cm, I =1nA=m, and Source Drain Doping Profiles With a Peak of cm With a 2-nm/dec Gaussian Lateral Decay performance benefit is maximized by using a lightly doped (or undoped) channel to achieve high carrier mobilities. The use of a lightly doped body requires that the gate work function be tunable in the range 4.4 ev to 5.0 ev to provide a means for adjusting the transistor threshold voltage. Molybdenum is an attractive candidate for gateelectrode application because of its compatibility with CMOS processing, and its high work function ( 5 ev) makes it ideal as a gate material for lightly doped p-channel UTB and DG MOSFETs. The work function of molybdenum can be lowered to 4.4 ev in a controllable manner by low-energy nitrogen implantation followed by thermal annealing, which makes it suitable as a gate material for n-channel UTB and DG MOSFETs as well. The capability to achieve multiple values by selectively adjusting the implant dose is important because it enables optimization for high-performance versus low-power applications without the need for any channel doping. UTB SOI MOSFETs with body thicknesses down to 3 nm have been successfully demonstrated. It was found that shortchannel effects (reduction in with decreasing, and with increasing drain bias) are effectively suppressed if the thickness of the body is smaller than the gate length. Excellent drive current can be achieved with a relatively thick (2.1 nm) gate oxide, so long as parasitic source/drain resistance is kept low by selectively thickening the source/drain contact regions. Mo gate work function engineering by nitrogen implantation was shown to be effective for tuning. The FinFET offers the superior scalability of a DG MOSFET structure together with a process flow and layout similar to that of the conventional MOSFET. In order to effectively suppress short-channel effects, the width of the Si fin (i.e., the transistor body thickness) must be less than half the gate length. Sublithographic fins (narrower than any feature that can be defined by conventional lithography) can be formed in an SOI film by using spacers, formed along the sidewalls of a sacrificial patterned layer, as a hard mask. Since the width of the spacers is determined by the thickness of the deposited spacer layer, it can be very narrow and uniform across a wafer. This spacer lithography process can be used to achieve very high fin densities for efficient layout of wide-channel FinFETs. Sub-20-nm FinFETs have been successfully demonstrated, with tuning possible by using Mo gate technology. As with the UTB device, selective thickening of the source/drain contact regions is needed to reduce parasitic resistance. Care must also be taken to remove etch damage and to smoothen the Si fin sidewalls, as well as to orient the fin channel surfaces in order to achieve high drive current. For very short gate lengths, the body can be so thin that quantum confinement effects become significant. At 5nm, is very sensitive to variations in body thickness more so than to variations in. Since can be twice as thick for the DG MOSFET as compared to the UTB MOSFET (for a given ), the DG MOSFET will be more tolerant of process-induced variations for sub-20-nm gate lengths and, hence, will ultimately be more manufacturable. ACKNOWLEDGMENT The authors would like to thank the University of California, Berkeley, Microlab staff for their support in device fabrication. REFERENCES [1] D. J. Frank, R. H. Dennard, E. Nowak, P. M. Solomon, Y. Taur, and H.-S. P. Wong, Device scaling limits of Si MOSFET s and their application dependencies, Proc. IEEE, vol. 89, pp , Mar [2] International Technology Roadmap for Semiconductors, [3] J. Welser, J. Hoyt, S. Takagi, and J. F. Gibbons, Strain dependence of the performance enhancement in strained-si n-mosfets, in Int. Electron Devices Meeting Tech. Dig., 1994, pp [4] C. H. Wann, K. Noda, T. Tanaka, M. Yoshida, and C. Hu, A comparative study of advanced MOSFET concepts, IEEE Trans. Electron Devices, vol. 43, pp , Oct [5] H.-S. P. Wong, Beyond the conventional transistor, in IBM J. Res. Develop., 2002, vol. 46, pp [6] B. Yu, H. Wang, A. Joshi, Q. Xiang, E. Ibok, and M.-R. Lin, 15 nm gate length planar CMOS transistor, in Int. Electron Devices Meeting Tech. Dig., 2001, pp [7] S. Tang, L. Chang, N. Lindert, Y.-K. Choi, W.-C. Lee, X. Huang, V. Subramanian, J. Bokor, T.-J. King, and C. Hu, FinFET: a quasiplanar double-gate MOSFET, in 2001 IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers, 2000, pp [8] T. Ghani, K. Mistry, P. Packan, S. Thompson, M. Stettler, S. Tyagi, and M. Bohr, Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors, in Symp. VLSI Technology Dig. Tech. Papers, 2000, pp [9] S. Takagi, A. Toriumi, M. Iwase, and H. Tango, On the universality of inversion layer mobility in Si MOSFET s: part I effects of substrate impurity concentration, IEEE Trans. Electron Devices, vol. 41, pp , Dec [10] Y. Taur, C. H. Wann, and D. J. Frank, 25 nm CMOS design considerations, in Int. Electron Devices Meeting Tech. Dig., 1998, pp [11] L. Chang, S. Tang, T.-J. King, J. Bokor, and C. Hu, Gate-length scaling and threshold voltage control of double-gate MOSFETs, in Int. Electron Devices Meeting Tech. Dig., 2000, pp PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

12 [12] J. Kedzierski, D. M. Fried, E. J. Nowak, T. Kanarsky, J. H. Rankin, H. Hanafi, W. Natzle, D. Boyd, Y. Zhang, R. A. Roy, J. Newbury, C. Yu, Q. Yang, P. Saunders, C. P. Willets, A. Johnson, S. P. Cole, H. E. Young, N. Carpenter, D. Rakowski, B. A. Rainey, P. E. Cottrell, M. Ieong, and H.-S. P. Wong, High-performance symmetric-gate and CMOS-compatible Vt asymmetric-gate FinFET devices, in Int. Electron Devices Meeting Tech. Dig., 2001, pp [13] MEDICI v User s Manual, Avant! Corp., [14] K. Banoo and M. S. Lundstrom, Electron transport in a model Si transistor, Solid State Electron., vol. 44, no. 9, pp , Sept [15] Y. Omura, S. Horiguchi, M. Tabe, and K. Kishi, Quantum-mechanical effects on the threshold voltage of ultrathin-soi nmosfets, IEEE Electron Device Lett., vol. 14, pp , Dec [16] S. E. Laux, A. Kumar, and M. V. Fischetti, QDAME simulation of 7.5 nm double-gate Si nfet s with differing access geometries, in Int. Electron Devices Meeting Tech. Dig., 2002, pp [17] D. J. Frank, S. E. Laux, and M. V. Fischetti, Monte Carlo simulation of a 30 nm dual-gate MOSFET: how short can Si go?, in Int. Electron Devices Meeting Tech. Dig., 1992, pp [18] D. A. Antoniadis, MOSFET scalability limits and new frontier devices, in 2002 Symp. VLSI Technology Dig. Tech. Papers, 2002, pp [19] R. Lin, Q. Lu, P. Ranade, T.-J. King, and C. Hu, An adjustable work function technology using Mo gate for CMOS devices, IEEE Electron Device Lett., vol. 23, pp , Jan [20] S. B. Samavedam, H. H. Tseng, P. J. Tobin, J. Mogab, S. Dakshina- Murthy, L. B. La, J. Smith, J. Schaeffer, M. Zavala, R. Martin, B.-Y. Nguyen, L. Hebert, O. Adetutu, V. Dhandapani, T.-Y. Luo, R. Garcia, P. Abramowitz, M. Moosa, D. C. Gilmer, C. Hobbs, W. J. Taylor, J. M. Grant, R. Hegde, S. Bagchi, E. Luckowski, V. Arunachalam, and M. Azrak, Metal gate MOSFET s with HfO gate dielectric, in 2002 Symp. VLSI Technology Dig. Tech. Papers, June 2002, pp [21] V. Misra, H. Zhong, and H. Lazar, Electrical properties of Ru-based alloy gate electrodes for dual metal gate Si-CMOS, IEEE Electron Device Lett., vol. 23, pp , June [22] B.-Y. Tsui and C.-F. Huang, Wide range work function modulation of binary alloys for MOSFET application, IEEE Electron Device Lett., vol. 24, pp , Mar [23] A. Yagishita, T. Saito, K. Nakajima, S. Inumiya, Y. Akasaka, Y. Ozawa, G. Minamihaba, H. Yano, K. Hieda, K. Suguro, T. Arikado, and K. Okumura, High performance metal gate MOSFET s fabricated by CMP for 0.1 m regime, in Int. Electron Devices Meeting Tech. Dig., 1998, p [24] I. Polishchuk, P. Ranade, T.-J. King, and C. Hu, Dual work function metal gate CMOS transistors by Ni-Ti interdiffusion, IEEE Electron Device Lett., vol. 23, pp , Apr [25] Y.-C. Yeo, Q. Lu, P. Ranade, H. Takeuchi, K. J. Yang, I. Polishchuk, T.-J. King, C. Hu, S. C. Song, H. F. Luan, and D.-L. Kwong, Dualmetal gate CMOS technology with ultra-thin silicon nitride gate dielectric, IEEE Electron Device Lett., vol. 22, pp , May [26] P. Ranade, Y.-K. Choi, D. Ha, A. Agarwal, M. Ameen, and T.-J. King, Tunable work function molybdenum gate technology for FDSOI-CMOS, in Int. Electron Devices Meeting Tech. Dig., Dec. 2002, pp [27] D. Ha, P. Ranade, Y.-K. Choi, J.-S. Lee, T.-J. King, and C. Hu, Ultra-thin body silicon-on-insulator (UTB-SOI) MOSFET with metal gate work-function engineering for sub-70 nm technology node, in Extended Abstracts 2002 Int. Conf. Solid State Devices and Materials, 2002, pp [28] H. Wakabayashi, Y. Saito, K. Takeuchi, T. Mogami, and T. Kunio, A dual-metal gate CMOS technology using nitrogen-concentrationcontrolled TiNx film, IEEE Trans. Electron Devices, vol. 48, pp , Oct [29] H. B. Michaelson, The workfunction of the elements and its periodicity, J. Appl. Physics, vol. 48, no. 11, pp , Nov [30] R. Smoluchowski, Anisotropy of the electronic work function of metals, Phys. Rev., vol. 60, pp , [31] T. Amada, N. Maeda, and K. Shibahara, Degradation in a molybdenum gate MOS structure caused by N ion implantation for the work function control, in Materials Research Society Symp. Proc., vol. 716, 2002, pp. B7.5.1 B [32] R. J. P. Lander, J. C. Hooker, J. P. van Zijl, F. Roozeboom, M. P. M. Maas, Y. Tamminga, and R. A. M. Wolters, A tunable metal gate work function using solid state diffusion of nitrogen, in Proc. ESSDERC, 2002, pp [33] Y.-C. Yeo, P. Ranade, T.-J. King, and C. Hu, Effects of high- gate dielectric materials on metal and silicon gate workfunctions, IEEE Electron Device Lett., vol. 23, pp , June [34] Y.-K. Choi, K. Asano, N. Lindert, V. Subramanian, T.-J. King, J. Bokor, and C. Hu, Ultra-thin body SOI MOSFET for deep-subtenth micron era, in Int. Electron Devices Meeting 1998 Tech. Dig., 1999, pp [35] S.-D. Kim, C.-M. Park, and J. C. S. Woo, Advanced model and analysis of series resistance for CMOS scaling into nanometer regime part II quantitative analysis, IEEE Trans. Electron Devices, vol. 49, pp , Mar [36] B. Doyle, R. Arghavani, D. Barlage, S. Datta, M. Doczy, J. Kavalieros, A. Murthy, and R. Chau, Transistor elements for 30 nm physical gate lengths and beyond, Intel Tech. J., vol. 6, pp , May [37] B. Doris, M. Ieong, T. Kanarsky, Y. Zhang, R. A. Roy, O. Dokumaci, Z. Ren, F.-F. Jamin, L. Shi, W. Natzle, H.-J. Huang, J. Mezzapelle, A. Mocuta, S. Womack, M. Gribelyuk, E. C. Jones, R. J. Miller, H.-S. P. Wong, and W. Haensch, Extreme scaling with ultra-thin Si channel MOSFETs, in Int. Electron Devices Meeting Tech. Dig., 2002, pp [38] S. Zimin, L. Litian, and L. Zhijian, Optimization of MOSFET s with polysilicon-elevated source/drain, in Proc. 5th Int. Conf. Solid- State and Integrated Circuit Technology, 1998, pp [39] W. B. De Boer, D. Terpstra, and J. G. M. Van Berkum, Selective versus nonselective growth of Si and SiGe, Mater. Sci. Eng., vol. B67, pp , [40] Y.-K. Choi, D. Ha, T.-J. King, and C. Hu, Nanoscale ultrathin body PMOSFET s with raised selective germanium source/drain, IEEE Electron Device Lett., vol. 22, pp , Sept [41] S. P. Ashburn, M. C. Ozturk, G. Harris, and D. M. Maher, Phase transitions during solid-state formation of cobalt germanide by rapid thermal annealing, J. Appl. Phys., vol. 74, no. 7, pp , [42] S. P. Ashburn, M. C. Ozturk, J. J. Wortman, G. Harris, J. Honeycutt, and D. M. Maher, Formation of titanium and cobalt germanides on Si(100) using rapid thermal processing, J. Electron. Mater., vol. 21, no. 1, pp , [43] R. Chau, J. Kavalieros, B. Doyle, A. Murthy, N. Paulsen, D. Lionberger, D. Barlage, R. Arghavani, B. Roberds, and M. Doczy, A 50 nm depleted-substrate CMOS transistor (DST), in Int. Electron Devices Meeting 1998 Tech. Dig., 2001, pp [44] H. van Meer and K. De Meyer, The spacer/replacer concept: a viable route for sub-100 nm ultrathin-film fully-depleted SOI CMOS, IEEE Electron Device Lett., vol. 23, pp , Jan [45] H.-S. P. Wong, D. J. Frank, P. M. Solomon, C. H. J. Wann, and J. J. Welser, Nanoscale CMOS, Proc. IEEE, vol. 87, pp , Apr [46] S.-H. Oh, J. M. Hergenrother, T. Nigam, D. Monroe, F. P. Klemens, A. Kornblit, W. M. Mansfield, M. R. Baker, D. L. Barr, F. H. Baumann, K. J. Bolan, T. Boone, N. A. Ciampa, R. A. Cirelli, D. J. Eaglesham, E. J. Ferry, A. T. Fiory, J. Frackoviak, J. P. Garno, H. J. Gossmann, J. L. Grazul, M. L. Green, S. J. Hillenius, R. W. Johnson, R. C. Keller, C. A. King, R. N. Kleiman, J. T.-C. Lee, J. F. Miner, M. D. Morris, C. S. Rafferty, J. M. Rosamilia, K. Short, T. W. Sorsch, A. G. Timko, G. R. Weber, G. D. Wilk, and J. D. Plummer, 50 nm vertical replacement gate (VRG) pmosfets, in Int. Electron Devices Meeting Tech. Dig., 2000, pp [47] J.-H. Lee, G. Taraschi, A. Wei, T. A. Langdo, E. A. Fitzgerald, and D. A. Antoniadis, Super self-aligned double-gate (SSDG) MOSFET s utilizing oxidation rate difference and selective epitaxy, in Int. Electron Devices Meeting Tech. Dig., 1999, pp [48] H.-S. P. Wong, K. K. Chan, and Y. Taur, Self-aligned (top and bottom) double-gate MOSFET with a 25 nm thick silicon channel, in Int. Electron Devices Meeting Tech. Dig., 1997, pp [49] T. Su, J. P. Denton, and G. W. Neudeck, New planar self-aligned double-gate fully-depleted p-mosfet s using epitaxial lateral overgrowth (ELO) and selectively grown source/drain (S/D), in Proc. IEEE Int. SOI Conf., 2000, pp [50] D. Hisamoto, T. Kaga, and E. Takeda, Impact of the vertical SOI DELTA structure on planar device technology, IEEE Trans. Electron Devices, vol. 38, pp , June CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1871

13 [51] X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J. Kedzierski, E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano, V. Subramanian, T.-J. King, J. Bokor, and C. Hu, Sub-50 nm p-channel FinFET, IEEE Trans. Electron Devices, vol. 48, pp , May [52] N. Lindert, L. Chang, Y.-K. Choi, E. H. Anderson, W.-C. Lee, T.-J. King, and C. Hu, Sub-60-nm quasiplanar FinFET s fabricated using a simplified process, IEEE Electron Device Lett., vol. 22, pp , Oct [53] Y.-K. Choi, N. Lindert, P. Xuan, S. Tang, D. Ha, E. Anderson, T.-J. King, J. Bokor, and C. Hu, Sub-20 nm CMOS FinFET technologies, in Int. Electron Devices Meeting Tech. Dig., 2001, pp [54] F.-L. Yang, H.-Y. Chen, F.-C. Chen, Y.-L. Chan, K.-N. Yang, C.-J. Chen, H.-J. Tao, Y.-K. Choi, M.-S. Liang, and C. Hu, 35 nm CMOS FinFETs, in Symp. VLSI Technology Tech. Dig., 2002, pp [55] B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C.-Y. Yang, C. Tabery, C. Ho, Q. Xiang, T.-J. King, J. Bokor, and C. Hu, FinFET scaling to 10 nm gate length, in Int. Electron Devices Meeting, 2002, pp [56] M. Shoji and S. Horiguchi, Electronic structures and phononlimited electron mobility double-gate silicon-on-insulator Si inversion layers, J. Appl. Phys., vol. 85, pp , [57] H. Majima, H. Ishikuro, and T. Hiramoto, Threshold voltage increase by quantum mechanical narrow channel effect in ultra-narrow MOSFETs, in Int. Electron Devices Meeting Tech. Dig., 2001, pp [58] K. Asano, Y.-K. Choi, T.-J. King, and C. Hu, Patterning sub-30-nm MOSFET gate with I-line lithography, IEEE Trans. Electron Devices, vol. 48, pp , May [59] J. T. Horstmann, U. Hilleringmann, and K. F. Goser, Matching analysis of deposition defined 50-nm MOSFET s, IEEE Trans. Electron Devices, vol. 45, pp , Jan [60] Y.-K. Choi, T.-J. King, and C. Hu, A spacer patterning technology for nanoscale CMOS, IEEE Trans. Electron Devices, vol. 49, pp , Mar [61], Spacer FinFET: nanoscale double-gate CMOS technology for the terabit era, Solid State Electron., vol. 46, pp , [62] Y.-K. Choi, L. Chang, P. Ranade, J.-S. Lee, D. Ha, S. Balasubramanian, A. Agarwal, M. Ameen, T.-J. King, and J. Bokor, FinFET process refinements for improved mobility and gate work function engineering, in Int. Electron Devices Meeting Tech. Dig., 2002, pp [63] T. Sato, Y. Takeishi, and H. Hara, Mobility anisotropy of electrons in inversion layers on oxidized silicon surfaces, Phy. Rev. B, vol. 4, pp , [64] R. Chau, B. Doyle, J. Kavalieros, D. Barlage, A. Murthy, M. Doczy, R. Arghavani, and S. Datta, Advanced depleted-substrate transistor: single-gate, double-gate and tri-gate, in Proc. Solid State Devices and Materials, 2002, pp [65] J. Colinge, M. Gao, A. Romano-Rodriguez, H. Maes, and C. Claeys, Silicon-on-insulator gate all-around device, in Int. Electron Devices Meeting, 1990, pp [66] Y.-K. Choi, D. Ha, T.-J. King, and J. Bokor, Reduction of gateinduced drain leakage (GIDL) current in single-gate ultra-thin body and double-gate FinFET devices, in Proc. Solid State Devices and Materials, 2002, pp [67] ISE TCAD: DESSIS, v7.0 User s Manual, Integrated Systems Engineering, Inc., San Jose, CA, Yang-Kyu Choi received the B.S. and M.S. degrees from Seoul National University, Seoul, Korea, in 1989 and 1991, respectively, and the M.S. and Ph.D. degrees from the University of California, Berkeley, in 1999 and 2001, respectively. From 1991 to 2001, he was a Process Integration Engineer with Hynix Co., Ltd., Kyungki-Do, Korea, where he developed 4-, 16-, 64-, and 256-M DRAM. He is currently a Postdoctoral Researcher at the University of California, Berkeley. He has authored or coauthored over 35 papers and holds six U.S. patents as well as 99 Korea patents. His research interests are novel MOSFET structure such as UTBFETs and FinFETs, nanofabrication, and an investigation of quantum phenomena for nanoscale CMOS. Dr. Choi received the Sakrison Award for the best dissertation in the Department of Electrical Engineering and Computer Sciences at the University of California, Berkeley, in Daewon Ha was born in Seoul, Korea, on December 4, He received the B.S. and M.S. degrees in electrical engineering from Yonsei University, Seoul, Korea, in 1993 and 1995, respectively. He is currently working toward the Ph.D. degree at the University of California, Berkeley, CA. From 1995 to 2000, he was with Samsung Electronics Company, Ltd., Kyungki-Do, Korea, where he was involved in the development of 512-Mb DRAM and 1-Gb DRAM. His research interests are novel device structures such as ultrathin body SOI and FinFETs for sub-50-nm CMOS technology, device reliability, and memory cell technology. Pushkar Ranade received the B.Eng. degree (with distinction) in metallurgy from the University of Pune, Pune, India in 1996 and the M.S. and Ph.D. degrees in materials science and engineering from the University of California, Berkeley, in 1998 and 2002, respectively. In 2002, he was with IBM Corporation s T.J. Watson Research Center, Yorktown Heights, NY. He is currently with Intel Corporation, Hillsboro, OR. He has authored or coauthored over 30 scientific publications and has three U.S. patents pending. His doctoral research was in the area of sub-100-nm MOS transistor design and fabrication and involved the integration of novel gate stack materials and processes and ultrashallow junction fabrication techniques. Leland Chang (Student Member, IEEE) received the B.S. (highest honors) M.S., and Ph.D. degrees in electrical engineering and computer sciences in 1999, 2001, and 2003, respectively, from the University of California, Berkeley. He is with the Electrical Engineering and Computer Science Department, University of California, Berkeley, CA. His research interests include the fabrication and analysis of thin-body SOI MOSFETs, nonvolatile memory devices, and RF MEMS resonators. Dr. Chang received the National Defense Science and Engineering Graduate (NDSEG) Fellowship from the Department of Defense in 1999 and the IBM Ph.D. Fellowship in Shiying Xiong received the M.S. degree in physics from Tsinghua University, P. R. China, in He is currently working toward the Ph.D. degree in solid-state devices in the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley PROCEEDINGS OF THE IEEE, VOL. 91, NO. 11, NOVEMBER 2003

14 Jeffrey Bokor (Fellow, IEEE) received the B.S. degree in electrical engineering from the Massachusetts Institute of Technology in 1975 and the M.S. and Ph.D. degrees in electrical engineering from Stanford University in 1976 and 1980, respectively. From 1980 to 1993, he was with AT&T Bell Laboratories, Holmdel, NJ, where he did research on novel sources of ultraviolet and soft X-ray coherent radiation, advanced lithography, picosecond optoelectronics, semiconductor physics, surface physics, MOS device physics, and integrated circuit process technology. From 1987 to 1990, he was Head of the Laser Science Research Department at Bell Labs. From 1990 to 1993, he was Head of the ULSI Technology Research Department, Bell Labs, Murray Hill, NJ. Since 1993, he has been Professor of Electrical Engineering and Computer Sciences at the University of California, Berkeley, with a joint appointment at the Lawrence Berkeley National Laboratory, Berkeley, CA. His current research activities include extreme ultraviolet lithography, nanoscale MOSFET device technology, novel techniques for nanofabrication, and new devices for nanoelectronics. Dr. Bokor is a Fellow of the American Physical Society and the Optical Society of America. Tsu-Jae King (Senior Member, IEEE) received the B.S., M.S., and Ph.D. degrees in electrical engineering from Stanford University, Stanford, CA, in 1984, 1986, and 1994, respectively. Her research involved the seminal study of polycrystalline silicon germanium films and their applications in metal oxide semiconductor technologies. From 1992 to 1996, she was a Member of Research Staff with the Xerox Palo Alto Research Center, Palo Alto, CA, researching and developing polycrystalline silicon thin-film transistor technologies for high-performance flat-panel display and imaging applications. She is currently an Associate Professor of Electrical Engineering and Computer Sciences, University of California, Berkeley, and the Director of the University of California, Berkeley, Microfabrication Laboratory. She has authored or coauthored over 150 publications and holds six U.S. patents. Her research activities are presently in sub-50-nm Si devices and technology, and thin-film materials and devices for integrated microsystems and large-area electronics. Chenming Hu (Fellow, IEEE) received the B.S. degree from the National Taiwan University, Taipei, Taiwan, R.O.C., in 1968 and the M.S. and Ph.D. degrees in electrical engineering from the University of California, Berkeley, in 1970 and 1973, respectively. He is the CTO of the Taiwan Semiconductor Manufacturing Corporation, Hsinchu, Taiwan, R.O.C., on leave from the University of California, Berkeley. He has authored or coauthored five books and over 700 research papers. He is a Member of the editorial boards of the Journal of Semiconductor Science and Technology and the Journal of Microelectronics Reliability. Dr. Hu received the 2002 IEEE Solid State Circuits Award for leading the development of the industry standard MOSFET model for IC simulation, BSIM. He also received the 1997 IEEE Jack A. Morton Award for contributions to the physics of MOSFET reliability. He is a Member of the U.S. National Academy of Engineering, a Fellow of the Institute of Physics, and a Life Honorary Professor of the Chinese Academy of Science. He has received the University of California, Berkeley s highest honor for teaching, the Distinguished Teaching Award; the Monie A. Ferst Award of Sigma Xi; the W. Y. Pan Foundation Award; and the DARPA Most Significant Technological Accomplishment Award for codeveloping the FinFET transistor structure. CHANG et al.: EXTREMELY SCALED SILICON NANO-CMOS DEVICES 1873

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics Solid-State Electronics 47 (2003) 49 53 www.elsevier.com/locate/sse Short Communication Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics K.J. Yang a,

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna PHYSICS OF DEVICES Enrico Sangiorgi ARCES, University of Bologna ESONN 04 ARCES University of Bologna OUTLINE OF THE COURSE CLASS 1 Introduction to nano-micro micro-electronics. Electrostatic and electrodynamics

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures ARGYRIOS C. VARONIDES Physics and EE Department University of Scranton 800 Linden Street, Scranton PA, 18510 United States Abstract:

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005 Mark Lundstrom Electrical and Computer Engineering Purdue University, West Lafayette, IN USA 765-494-3515 lundstro@purdue.edu 1 evolution

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor Study the characteristics of energy bands as a function of applied voltage in the metal oxide semiconductor structure known

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Predicted Performance Advantages of Carbon Nanotube Transistors with Doped Nanotubes as Source/Drain

Predicted Performance Advantages of Carbon Nanotube Transistors with Doped Nanotubes as Source/Drain Predicted Performance Advantages of Carbon Nanotube Transistors with Doped Nanotubes as Source/Drain Jing Guo, Ali Javey, Hongjie Dai, Supriyo Datta and Mark Lundstrom School of ECE, Purdue University,

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

An analytical gate tunneling current model for MOSFETs

An analytical gate tunneling current model for MOSFETs Физика и техника полупроводников, 2012, том 46, вып. 3 An analytical gate tunneling current model for MOSFETs Iman Abaspur Kazerouni, Seyed Ebrahim Hosseini Electrical and Computer Department, Sabzevar

More information

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology 1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology Munaf Rahimo, Jan Vobecky, Chiara Corvasce ISPS, September 2010, Prague, Czech Republic Copyright [2010] IEEE. Reprinted from the

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Field-Effect (FET) transistors

Field-Effect (FET) transistors Field-Effect (FET) transistors References: Hayes & Horowitz (pp 142-162 and 244-266), Rizzoni (chapters 8 & 9) In a field-effect transistor (FET), the width of a conducting channel in a semiconductor and,

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Within the next decade, computing technology will require. Sub-10 nm Carbon Nanotube Transistor

Within the next decade, computing technology will require. Sub-10 nm Carbon Nanotube Transistor pubs.acs.org/nanolett Sub-10 nm Carbon Nanotube Transistor Aaron D. Franklin,*, Mathieu Luisier, Shu-Jen Han, George Tulevski, Chris M. Breslin, Lynne Gignac, Mark S. Lundstrom, and Wilfried Haensch IBM

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Contents. State of the Art and Current Trends for Multiple Gate MOS Devices. Current, Charge and Capacitance Model for Multiple Gate MOSFETs

Contents. State of the Art and Current Trends for Multiple Gate MOS Devices. Current, Charge and Capacitance Model for Multiple Gate MOSFETs Contents Contents List of Publications... 5 List of Symbols...10 List of Figures... 16 Chapter 1 State of the Art and Current Trends for Multiple Gate MOS Devices 1A. Introduction... 5 1B. Compact modeling...

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation 90 Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation Takafumi Tokunaga Katsutaka Kimura

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Tobias Märkl. November 16, 2009

Tobias Märkl. November 16, 2009 ,, Tobias Märkl to 1/f November 16, 2009 1 / 33 Content 1 duction to of Statistical Comparison to Other Types of Noise of of 2 Random duction to Random General of, to 1/f 3 4 2 / 33 , to 1/f 3 / 33 What

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa Catarina Center for Technology Computer Science & Electronics Engineering Integrated Circuits & Systems INE 5442 Lecture 11 MOSFET part 2 guntzel@inf.ufsc.br I D -V DS Characteristics

More information

Solid State Detectors = Semi-Conductor based Detectors

Solid State Detectors = Semi-Conductor based Detectors Solid State Detectors = Semi-Conductor based Detectors Materials and their properties Energy bands and electronic structure Charge transport and conductivity Boundaries: the p-n junction Charge collection

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

DESIGN considerations for a microwave amplifier include

DESIGN considerations for a microwave amplifier include IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 9, SEPTEMBER 1998 1993 Three-Dimensional Base Distributed Effects of Long Stripe BJT s: AC Effects on Input Characteristics Ming-Yeh Chuang, Mark E.

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1 CO2005: Electronics I The Field-Effect Transistor (FET) Electronics I, Neamen 3th Ed. 1 MOSFET The metal-oxide-semiconductor field-effect transistor (MOSFET) becomes a practical reality in the 1970s. The

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination

Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination Vol. 31, No. 7 Journal of Semiconductors July 2010 Characteristics of blocking voltage for power 4H-SiC BJTs with mesa edge termination Zhang Qian( 张 倩 ), Zhang Yuming( 张 玉 明 ), and Zhang Yimen( 张 义 门

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Nanoelektronik: Von der Realität bis zur Utopie

Nanoelektronik: Von der Realität bis zur Utopie LNQE-Kolloquium Nanoelektronik: Von der Realität bis zur Utopie Heinrich Kurz Institut für Halbleitertechnik, RWTH-Aachen AMICA - Advanced Microelectronic Center Aachen, AMO GmbH I. Einleitung II. Realität

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Automotive MOSFETs in Linear Applications: Thermal Instability

Automotive MOSFETs in Linear Applications: Thermal Instability Application Note, V1.0, May 2005 Automotive MOSFETs in Linear Applications: Thermal Instability by Peter H. Wilson Automotive Power N e v e r s t o p t h i n k i n g. - 1 - Table of Content 1. Introduction...

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN Student name: Truong, Long Giang Student #: 970304580 Course: ECE1352F 1. INTRODUCTION The technological trend towards deep sub-micrometer dimensions,

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS Outline 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation 3. I-V characteristics Reading Assignment: Howe and Sodini, Chapter 4, Sections

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

AN3022. Establishing the Minimum Reverse Bias for a PIN Diode in a High-Power Switch. 1. Introduction. Rev. V2

AN3022. Establishing the Minimum Reverse Bias for a PIN Diode in a High-Power Switch. 1. Introduction. Rev. V2 Abstract - An important circuit design parameter in a high-power p-i-n diode application is the selection of an appropriate applied dc reverse bias voltage. Until now, this important circuit parameter

More information

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms

Lecture 15. Advanced Technology Platforms. Background and Trends State-of-the-Art CMOS Platforms Lecture 15 Advanced Technology Platforms Background and Trends State-of-the-Art CMOS Platforms Reading: multiple research articles (reference list at the end of this lecture) Technology and Applications

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005

Lecture 9 - MOSFET (I) MOSFET I-V Characteristics. October 6, 2005 6.12 - Microelectronic Devices and Circuits - Fall 25 Lecture 9-1 Lecture 9 - MOSFET (I) MOSFET I-V Characteristics October 6, 25 Contents: 1. MOSFET: cross-section, layout, symbols 2. Qualitative operation

More information

The MOS Transistor in Weak Inversion

The MOS Transistor in Weak Inversion MOFE Operation in eak and Moderate nversion he MO ransistor in eak nversion n this section we will lore the behavior of the MO transistor in the subthreshold regime where the channel is weakly inverted.

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Fourth generation MOSFET model and its VHDL-AMS implementation

Fourth generation MOSFET model and its VHDL-AMS implementation Fourth generation MOSFET model and its VHDL-AMS implementation Fabien Prégaldiny and Christophe Lallement fabien.pregaldiny@phase.c-strasbourg.fr ERM-PHASE, Parc d innovation, BP 10413, 67412 Illkirch

More information

CHARGE pumps are the circuits that used to generate dc

CHARGE pumps are the circuits that used to generate dc INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 27 A Charge Pump Circuit by using Voltage-Doubler as Clock Scheme Wen Chang Huang, Jin Chang Cheng,

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution Chapter 5 5.6 Doped GaAs Consider the GaAs crystal at 300 K. a. Calculate the intrinsic conductivity and resistivity. Second Edition ( 2001 McGraw-Hill) b. In a sample containing only 10 15 cm -3 ionized

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays J.H. Daniel 1, a, B.S. Krusor 1, N. Chopra 2, R.A. Street 1, P.M. Kazmaier 2, S.E. Ready 1, J.H. Ho 1 1 Palo Alto Research

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

NANOMOS 4.0: A TOOL TO EXPLORE ULTIMATE SI TRANSISTORS AND BEYOND. ADissertation. Submitted to the Faculty. Purdue University.

NANOMOS 4.0: A TOOL TO EXPLORE ULTIMATE SI TRANSISTORS AND BEYOND. ADissertation. Submitted to the Faculty. Purdue University. NANOMOS 4.0: A TOOL TO EXPLORE ULTIMATE SI TRANSISTORS AND BEYOND ADissertation Submitted to the Faculty of Purdue University by Xufeng Wang In Partial Fulfillment of the Requirements for the Degree of

More information

X-ray thin-film measurement techniques

X-ray thin-film measurement techniques Technical articles X-ray thin-film measurement techniques II. Out-of-plane diffraction measurements Toru Mitsunaga* 1. Introduction A thin-film sample is two-dimensionally formed on the surface of a substrate,

More information