Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards

Similar documents
Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

What is a System on a Chip?

3D ICs with TSVs Design Challenges and Requirements

Curriculum Vitae. Giorgio Di Natale. Chargé de Recherche CNRS - Section 7

EEC 119B Spring 2014 Final Project: System-On-Chip Module

Testing of Digital System-on- Chip (SoC)

Testing System-In-Package Wirelessly

1. Submission Rules. 2. Verification tools. 3. Frequent errors

FEATURES DESCRIPTION. PT6321 Fluorescent Display Tube Controller Driver

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Test Circuit for Vectorless Open Lead Detection of CMOS ICs

Fondamenti su strumenti di sviluppo per microcontrollori PIC

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

How To Design A Chip Layout

Implementation Details

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

AN4324 Application note

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

Chapter 9 Latches, Flip-Flops, and Timers

EMC / EMI issues for DSM: new challenges

Memory Architecture and Management in a NoC Platform

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

A Case Study of Application Development and Production Code Generation for a Telematics ECU with Full Unified Diagnostics Services

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations

SiP & Embedded Passives ADEPT-SiP Project

How To Integrate 3D-Ic With A Multi Layer 3D Chip

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Design-Kits, Libraries & IPs

Module 7 : I/O PADs Lecture 33 : I/O PADs

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005

Systems on Chip Design

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS

Photonic components for signal routing in optical networks on chip

INF4420 Introduction

Influence of the Socket on Chip-level ESD Testing

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Bi-directional level shifter for I²C-bus and other systems.

Implementing a Digital Answering Machine with a High-Speed 8-Bit Microcontroller

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Tire pressure monitoring

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

ECE 492 SENIOR PROJECT I MINI SUMO ROBOT

Naveen Muralimanohar Rajeev Balasubramonian Norman P Jouppi

Managing and Maintaining Windows Server 2008 Servers

THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI TESTING

Dry Film Photoresist & Material Solutions for 3D/TSV

Wireless Security Camera

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

How to Improve Tablet PCs and Other Portable Devices with MEMS Timing Technology

Evolution and Prospect of Single-Photon

G. Squillero, M. Rebaudengo. Test Techniques for Systems-on-a-Chip

LCD MODULE DEM B FGH-PW

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16290(LED TYPES) EXAMINED BY : FILE NO. CAS ISSUE : JUL.03,2001 TOTAL PAGE : 7

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK.

Latch-up Testing. Barry Fernelius. June Evans Analytical Group

Lab Experiment 1: The LPC 2148 Education Board

HT6P20X Series 2 24 OTP Encoder

CMOS Thyristor Based Low Frequency Ring Oscillator

Figure 1 FPGA Growth and Usage Trends

IL2225 Physical Design

SPADIC: CBM TRD Readout ASIC

3D innovations: From design to reliable systems

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

Memory Module Specifications KVR667D2D4F5/4G. 4GB 512M x 72-Bit PC CL5 ECC 240-Pin FBDIMM DESCRIPTION SPECIFICATIONS

HT12A/HT12E 2 12 Series of Encoders

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS (LED TYPES) EXAMINED BY : FILE NO. CAS ISSUE : DEC.01,1999 TOTAL PAGE : 7 APPROVED BY:

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation

SLG7NT4129 PCIE RTD3. Pin Configuration. Features Low Power Consumption Dynamic Supply Voltage RoHS Compliant / Halogen-Free Pb-Free TDFN-12 Package

On-chip clock error characterization for clock distribution system

Design Tips for Low Noise Readout PCBs Or: How black magic can lead to success

etape Continuous Fluid Level Sensor Operating Instructions and Application Notes

CMOS 5GHz WLAN a/n/ac RFeIC WITH PA, LNA, AND SPDT

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16400(LED TYPES) EXAMINED BY : FILE NO. CAS ISSUE : JAN.19,2000 TOTAL PAGE : 7 APPROVED BY:

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

Wireless Approach for SIP and SOC Testing

Technology Developments Towars Silicon Photonics Integration

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS

Photonic Networks for Data Centres and High Performance Computing

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

On-Chip Interconnect: The Past, Present, and Future

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Application Note, V 2.2, Nov AP32091 TC1766. Design Guideline for TC1766 Microcontroller Board Layout. Microcontrollers. Never stop thinking.

23-26GHz Reflective SP4T Switch. GaAs Monolithic Microwave IC in SMD leadless package

LCM NHD-12032BZ-FSW-GBW. User s Guide. (Liquid Crystal Display Graphic Module) RoHS Compliant. For product support, contact

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

In-System Programmability

Power Reduction Techniques in the SoC Clock Network. Clock Power

Transcription:

Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards Yassine Fkih Hakim Zimouche Giorgio Di Natale Marie-Lise Flottes Bruno Rouzeyre Pascal Vivet 27 June, 2013 MASTER 3D

3D-SICs Test Flow Die 1 Die 2 Pre-bond Test Pre-bond Test Stack 1+2 Mid-bond Test Die 3 Pre-bond Test Stack 1+2+3 Post-bond Test 2

Motivation TAM Handling stimuli and responses Circuit TAM Circuit Pre-bond test of TSVs 3

Outline Pre-bond test of TSVs Test Access Mechanism for 3D-SIC Conclusions 4

Electrical and Fault Models TSV Oxide Substrate Rup Rdown CTSV Pinhole Micro-void Rup R up Rdown CTSV Rdown Broken TSV Rup CTSV Rdown C TSV 5

Electrical and Fault Models TSV The delay of RC network is affected in presence of defects Oxide Substrate Rup Rdown CTSV Pinhole Micro-void Rup R up Rdown CTSV Rdown Broken TSV Rup CTSV Rdown C TSV 6

Solutions Direct measurements of the discharge time of RC network Ring Oscillator, whose frequency depends on the RC delay 7

Solution 1: direct measurement!! ST 65nm technology! 8

Solution 1: direct measurement Resolution: 5%!!! 9

Solution 2: Ring Oscillators cmd cmd Functional Logic TSV Test Controller Good TSV: F=530MHz Faulty TSV (C faultytsv =C goodtsv /2): F=770MHz 10

Solution 2: Ring Oscillators (integration with 1149.1) >*+(6)+1;' ;)=<(' 3 9 @ '! 3 9 @ ' "!<+=')&(<;;1,).&'' -+1A;-' Resetn Clk_ext 8"93' :)+,.);;<+='>9?' TSV_BIST_Start Ringo_number Count_enble Capture_clock Compare_min_max Resetn Clk_ringo!"#$%&'()*+,-.' ()/01.1,).' Comparison_result Ringo_index_max[4:0] Ringo_index_min[4:0] Min_count[11:0] Max_count[11:0] 3?9' 3B"' 3!93+' "+&,.*(6)+'7-()7-.' ' ' 234$'345' 3B%' 11

Solution 2: Ring Oscillators (integration with 1149.1) $#+% $#+%,-./)0% 1)2(% '3*)&&-.'/%!"#$%&'()*% $#+% 1)2(% '3*)&&-.'/% STMicroelectronics CMOS 65nm 10µm diameter, 80µm depth, 50µm x 40µm pitch Wide IO interface (6*46 TSVs) Ring Oscillator designed as a macro cell, integrated within the TSVs matrix 12

Comparison Direct measurements of the discharge time of RC network More sensitive to variability Faster Ring Oscillator, whose frequency depends on the RC delay More robust Slower 13

Outline Pre-bond test of TSVs Test Access Mechanism for 3D-SIC Conclusions 14

Test Infrastructures and Standards Wrappers and Test Access Mechanisms (TAMs) to test and debug modules within the system Standards follow technologies Standard System Module 1149.1 PCB Chip 1500 SoC Core P1687 SoCs Cores + IPs P1838 3D-SIC Die 15

Automatic Die Detection (extension applicable to 1149.1 and 1500) Vdd 0 1 CUT Gnd 16

Automatic Die Detection (extension applicable to 1149.1 and 1500) Vdd 0 1 CUT Gnd 17

Automatic Die Detection (extension applicable to 1149.1 and 1500) Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd 18

Automatic Die Detection (extension applicable to 1149.1 and 1500) Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd 19

Extending P1687 Compared to 1149.1 (JTAG) and 1500, P1687 (IJTAG) networks are dynamic and variable in their configuration Segments can be added or subtracted as requirements change IJTAG standard is flexible from an architectural standpoint 20

3D DFT proposal with P1687 The stack and each die are compliant to JTAG and IJTAG Die detectors It allows pre-, mid- and post-bond tests Cooperation with Mentor Tessent 21

Conclusions 3D SIC Test: New problems (mainly: TSV pre-bond testing and Test Infrastructures) New solutions and standards (hopefully) are coming 22

Thank you!! 23