Incorporating FPGAs in Test Applications. NI Technical Conference Long Island Nov 10, 2009 Terry Stratoudakis, P.E. ALE System Integration

Similar documents
Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS

Summer of LabVIEW The Sunny Side of System Design

Modular Instrumentation Technology Overview

National Instruments MIMO Technology Demonstration

Open Flow Controller and Switch Datasheet

LLRF. Digital RF Stabilization System

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

AGIPD Interface Electronic Prototyping

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

Table 1: Address Table

Selecting the Optimum PCI Express Clock Source

ECONseries Low Cost USB DAQ

High-Speed SERDES Interfaces In High Value FPGAs

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

Qsys and IP Core Integration

Innovative test solutions WORKSHOP APPLICAZIONI FPGA - INAF 1

WiSER: Dynamic Spectrum Access Platform and Infrastructure

DDR subsystem: Enhancing System Reliability and Yield

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design.

Strategies in Software Defined RF and Wireless Communications Test. Srini Badri Business Development Manager National Instruments

9/14/ :38

Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015

Serial port interface for microcontroller embedded into integrated power meter

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Features. DDR SODIMM Product Datasheet. Rev. 1.0 Oct. 2011

Instrumentação Suportada em Computadores Pessoais Instrumentation

Design and Verification of Nine port Network Router

How To Develop An Iterio Data Acquisition System For A Frustreo (Farc) (Iterio) (Fcfc) (For Aterio (Fpc) (Orterio).Org) (Ater

Multicore Programming with LabVIEW Technical Resource Guide

ni.com/sts NI Semiconductor Test Systems

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai Jens Onno Krah

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller

7a. System-on-chip design and prototyping platforms

AC : PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS

SignalPad Data Logging and Analysis Software

Note monitors controlled by analog signals CRT monitors are controlled by analog voltage. i. e. the level of analog signal delivered through the

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

[Download Tech Notes TN-11, TN-18 and TN-25 for more information on D-TA s Record & Playback solution] SENSOR PROCESSING FOR DEMANDING APPLICATIONS 29

DATENBLATT USB-DIO32HS USB-DIO32HS-OEM. HABEN SIE FRAGEN ODER WÜNSCHEN SIE EIN INDIVIDUELLES ANGEBOT? Unser Team berät Sie gerne persönlich.

Ettus Research Products and Roadmap 2011

ADQYF1A08. DDR2-1066G(CL6) 240-Pin O.C. U-DIMM 1GB (128M x 64-bits)

FPGA-based MapReduce Framework for Machine Learning

Architecting High-Speed Data Streaming Systems. Sujit Basu

Software Defined Radio Architecture for NASA s Space Communications

1. Memory technology & Hierarchy

User s Manual of Board Microcontroller ET-MEGA2560-ADK ET-MEGA2560-ADK

Figure 1 FPGA Growth and Usage Trends

Secure My-d TM and Mifare TM RFID reader system by using a security access module Erich Englbrecht (info@eonline.de) V0.1draft

Digital Systems Design! Lecture 1 - Introduction!!

A Scalable Large Format Display Based on Zero Client Processor

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Model-based system-on-chip design on Altera and Xilinx platforms

LogiCORE IP AXI Performance Monitor v2.00.a

Propagation Channel Emulator ECP_V3

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

XMC Modules. XMC-6260-CC 10-Gigabit Ethernet Interface Module with Dual XAUI Ports. Description. Key Features & Benefits

HMI EMBEDDED SYSTEM DESIGN AS A FUNCTION OF TECU

SDLC Controller. Documentation. Design File Formats. Verification

FPGA. AT6000 FPGAs. Application Note AT6000 FPGAs. 3x3 Convolver with Run-Time Reconfigurable Vector Multiplier in Atmel AT6000 FPGAs.

VPX Implementation Serves Shipboard Search and Track Needs

Go Faster - Preprocessing Using FPGA, CPU, GPU. Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Utilizing the Latest Technologies in Data Acquisition

What s New in Mike Bailey LabVIEW Technical Evangelist. uk.ni.com

PLAS: Analog memory ASIC Conceptual design & development status

FPGA Design From Scratch It all started more than 40 years ago

VADC Versatile Analog to Digital Converter. XMC Microcontrollers August 2014

Systolic Computing. Fundamentals

Redundancy in enterprise storage networks using dual-domain SAS configurations

Atmel Norway XMEGA Introduction

Integrating PCI Express into the PXI Backplane

MIMO detector algorithms and their implementations for LTE/LTE-A

CAPTAN: A Hardware Architecture for Integrated Data Acquisition, Control, and Analysis for Detector Development

RF Measurements Using a Modular Digitizer

USB - FPGA MODULE (PRELIMINARY)

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Chapter 13. PIC Family Microcontroller

Microtronics technologies Mobile:

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware

ugrid Testbed. Rein in microgrid complexity. With ease.

Timing Errors and Jitter

GnuRadio CONTACT INFORMATION: phone: fax: web:

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS

High-Level Synthesis for FPGA Designs

DAC Digital To Analog Converter

Elettronica dei Sistemi Digitali Costantino Giaconia SERIAL I/O COMMON PROTOCOLS

Non-Contact Test Access for Surface Mount Technology IEEE

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Simple SDR Receiver. Looking for some hardware to learn about SDR? This project may be just what you need to explore this hot topic!

Development. Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia. AGIPD Meeting April, 2014

Arbitration and Switching Between Bus Masters

Straton and Zenon for Advantech ADAM Copalp integrates the straton runtime into the ADAM-5550 device from Advantech

Transcription:

Incorporating FPGAs in Test Applications NI Technical Conference Long Island Nov 10, 2009 Terry Stratoudakis, P.E. ALE System Integration

Agenda Introduction to FPGAs in test FPGAs in test applications New FPGA-enabled applications FPGA-enhanced applications FPGA for test hardware Examples Programming in LabVIEW FPGA for test applications

Introduction to FPGAs in Test

Software-Defined Test System Architecture Standard Virtual Instrumentation Model Application Driver Bus Interface and I/O Control Measurement Analog or Digital Front End Software Hardware 10011011

Field Programmable Gate Arrays Introduced in 1987 Customizable Integrated Circuit (IC) Similar to Application Specific ICs (ASICs) No Operating System Configured with Hardware Descriptor Language (HDL) Parallel Execution Millions of configurable gates on a single chip

Who makes FPGAs? Actel Aeroflex UTMC Lattice Semiconductor And others... Altera* Atmel Xilinx* *Market leaders http://seekingalpha.com/article/85478-altera-and-xilinx-report-the-battle-continues

FPGA Configuration Tools Direct: Hardware Description Languages (HDL) akin to assembly language Examples: Verilog and VHDL High-Level FPGA design accessible to software engineers Text based using C or Matlab with concurrency models Examples: Graphical/Flow based with LabVIEW FPGA

VHDL Adder Example

C-Based Example Image Filter excerpt*

Graphical Based Matrix Math Example*

FPGA Technology Programmable Interconnects Logic Blocks I/O Blocks

FPGA Logic Implementation LabVIEW FPGA Code Implementing Logic on FPGA: F = {(A+B)CD} E E F A B C D

True Parallelism E F A B C D Z W X Y

FPGA-Based Test System Architecture Open FPGA System Model Driver Bus Interface/ Programmable FPGA Measurement Analog or Digital Front End Software NI Hardware System intelligence and decision making can be moved from software to hardware

Benefits of FPGAs in Test Systems High Reliability Designs implemented in hardware Low Latency Run algorithms at deterministic rates down to 5 ns Reconfigurable Create DUT / application-specific personalities High Performance Computational abilities open new possibilities for measurement and data processing speed True Parallelism Enables parallel tasks and pipelining, reducing test times

FPGAs in Test Applications New FPGA-enabled applications

RFID Testing RFID Reader (Emulated) RFID Tag (DUT) Stimulus Response

RFID Testing Response-Stimulus Testing an RFID tag requires emulating the tag reader Interrogates and responds to tag within microseconds Coding/decoding, modulation/demodulation, and decision making n hardware to meet timing Closed-Loop Test

Real-time spectral measurements Processing RF

FPGAs in Test Applications FPGA-enhanced applications

A Simple Digital Protocol: I 2 C 010ZZ01 Pattern Generator SDA SCL Integrated Circuit 0101101 Logic Analyzer Traditional Approach Static stimulus and expected responses Difficult to accommodate multiple clock domains

A Simple Digital Protocol: I 2 C Address, Data, Address, Receive Protocol-Aware Tester Response Data SDA Protocols Integrated Circuit Protocol-Aware Approach Intelligence built into the tester Accommodates wait cycles Easy to cross clock domains Test with high-level commands Real-world scenario Inherently easier to program

System Control Transfer of system timing and decision making from software to hardware Instrument Controller (SMC) ADC PXI Data or Trigger Bus Test System Control (FPGA) Digital Communication DUT Instrument Controller (SMC) DAC

FPGA for Test Application Areas Processing Protocols Closed-Loop Test Test System Control Real-time / co-processing Data reduction / in-line processing Protocol-aware ATE Interfacing (digital or modulated) Response-stimulus test Hardware-in-the-loop (HIL) Digital DUT interfacing and command Complex triggers

FPGA for Test Hardware

COTS Integration of FPGAs Example: NI FlexRIO (?)

NI FlexRIO System Architecture PXI NI FlexRIO Adapter Module Interchangeable I/O Customizable by users Adapter Module Development Kit (MDK) NI FlexRIO FPGA Module Virtex-5 FPGA 132 digital I/O lines 128 MB of DDR2 DRAM PXI Platform Synchronization Clocking/triggers Power/cooling Data streaming

NI Flex Virtex-5 LX30 Direct a 132 s differ 400 M 1 Gb 128 MB 2x 64 800 M Adapter

NI FlexRIO Adapter Module Card edge connector Defines I/O for NI LabVIEW FPGA Self identification Custom connectivity Adapter Module Development Kit (MDK)

NI FlexRIO Adapter Module Options NI Modules Complete integration with LabVIEW FPGA R Series-like experience Third-Party Modules Expands NI I/O breadth Custom and applicationspecific modules Custom Modules Requires PCB and HDL design work Supported through MDK

NI 6581 High-Speed Digital Adapter Module 100 MHz digital I/O 54 single-ended channels Selectable voltage levels 1.8, 2.5, 3.3 V (5 V compatible) External DIO voltage reference 1.8 to 5.5 V Configurable by connector NI 6581

NI 6585 200 MHz LVDS Digital Instrument 200 MHz digital I/O 32 / 42 LVDS channels 200 Mbps SDR, 300 Mbps DDR PXI-6585R

NI FlexRIO Partner Modules Gigabit Ethernet interfaces MAC and Ethernet frames Fault-injection software Camera Link Interface High-speed image processing Low-latency control IEEE-1394b interface 3 ports at 800 Mbps 100 MHz vector digital I/O 8 ch. per-pin PMU

Custom NI FlexRIO Adapter Module Development Kit (MDK) 6 W Power electrical and thermal limit 3.3 V (1 A) and 12 V (200 ma) rails LVTTL (3.3 V), LVCMOS (1.2, 1.5, 1.8, 2.5, 3.3 V), LVDCI (1.5, 1.8 V, 2.5, 3.3 V), LVDS (2.5 V) 400 Mbps (Single-Ended), 1 Gbps (Differential) I 2 C EEPROM for module identification and userdefined storage NI Mechanical Enclosures

Demo: Digital Filtering FIR Filter

NI PXIe-5641R RIO IF Transceiver 2 IF Inputs and 2 IF Outputs 14-bit ADCs and DACs 20 MHz Instantaneous Bandwidth (25 MS/s I/Q) IFs from 250 khz to 80 MHz Xilinx Virtex-5 SX95T LabVIEW-programmable FPGA

FPGA for Test Hardware How NI FlexRIO and the PXIe-5641R fit into FPGA for test applications

RFID Testing Response-Stimulus FPGA on PXIe-5641R IF Transceiver can perform necessary processing Upconverter and downconverter condition the signal for the correct RF frequency of the tag RFID Tag PXI-5610 Upconverter PXI-5600 Downconverter

A Real-Time Spectrum Analyzer

A Simple Digital Example: I 2 C Address, Data, Address, Receive SDA SCL Integrated Circuit Response Data Protocol-Aware Approach Intelligence built into the tester Accommodates wait cycles Easy to cross clock domains Test with high-level commands Real-world scenario Inherently easier to program

Sparkle Code Counter Single-Cycle Timed Loop I/O Node

I/O Node Digital Filter FIFO IP Integration Node FIFO FIFO FIFO I/O Node

Bit Error Rate Test DRAM Read Multiplexer I/O Node Delay I/O Node

3 GS/s / 16 3 GS/s Trigger for Data Reduction I/O Nodes ~0 Delay ~0 Delay Pipeline

Real-Time Frequency Measurements Stage 1 Stage 2 Stage 3 Stage 4

Conclusions FPGAs enable some types of test applications not previously possible, and make others faster LabVIEW FPGA represents a powerful hardware programming paradigm for test engineers and system integrators