Influence of High-k Gate Dielectric on Nanoscale DG-MOSFET

Similar documents
CONTENTS. Preface Energy bands of a crystal (intuitive approach)

CHAPTER 10 Fundamentals of the Metal Oxide Semiconductor Field Effect Transistor

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Intel s Revolutionary 22 nm Transistor Technology

MOS (metal-oxidesemiconductor) 李 2003/12/19

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

EE-612: Nanoscale Transistors (Advanced VLSI Devices) Spring 2005

EDC Lesson 12: Transistor and FET Characteristics EDCLesson12- ", Raj Kamal, 1

Advanced VLSI Design CMOS Processing Technology

The MOSFET Transistor

New materials on horizon for advanced logic technology in mobile era

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices

A Study on the Reliability of Metal Gate La2O3 Thin Film Stacked Structures

Electron mobility in MOSFETs with ultrathin RTCVD silicon nitride/oxynitride stacked gate dielectrics

Predicted Performance Advantages of Carbon Nanotube Transistors with Doped Nanotubes as Source/Drain

Modeling the Characteristics of a High-k HfO 2 -Ta 2 O 5 Capacitor in Verilog-A

An analytical gate tunneling current model for MOSFETs

Chapter 2 The Study on Polycrystalline Pentacene Thin Film Transistors

Simulation of Gate Leakage Currents in UTB MOSFETs and Nanowires Andreas Schenk ETH Zurich

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

OLED display. Ying Cao

Tobias Märkl. November 16, 2009

MOSFET DEVICE MODELING FOR ANALOG CIRCUITS DESIGN

DESIGN CHALLENGES OF TECHNOLOGY SCALING

Semiconductor doping. Si solar Cell

Chapter 5. Second Edition ( 2001 McGraw-Hill) 5.6 Doped GaAs. Solution

The MOS Transistor in Weak Inversion

PHYSICS OF DEVICES ESONN 04. ARCES, University of Bologna. 8/19/2004 Enrico Sangiorgi. Enrico Sangiorgi. ARCES University of Bologna

Transconductance. (Saturated) MOSFET Small-Signal Model. The small-signal drain current due to v gs is therefore given by

DISCRETE SEMICONDUCTORS DATA SHEET. BLF244 VHF power MOS transistor

Chapter 2 Sources of Variation

Solar Photovoltaic (PV) Cells

Fourth generation MOSFET model and its VHDL-AMS implementation

CO2005: Electronics I (FET) Electronics I, Neamen 3th Ed. 1

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Metal Gate / High-k Reliability Characterization: From Research to Development and Manufacturing. Andreas Kerber, PhD Technology Research Group

True Single Phase Clocking Flip-Flop Design using Multi Threshold CMOS Technique

SLC vs MLC: Which is best for high-reliability apps?

Contents. State of the Art and Current Trends for Multiple Gate MOS Devices. Current, Charge and Capacitance Model for Multiple Gate MOSFETs

Evaluation of the Surface State Using Charge Pumping Methods

A PHYSICAL MODEL FOR MOSFET OUTPUT RESISTANCE. by J. H. Huang, 2. H. Liu, M. C. Jeng, P. K. KO, C. Hu. Memorandum No.

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

AN3022. Establishing the Minimum Reverse Bias for a PIN Diode in a High-Power Switch. 1. Introduction. Rev. V2

Field-Effect (FET) transistors

BJT Ebers-Moll Model and SPICE MOSFET model

MOS Capacitor CHAPTER OBJECTIVES

High Performance N-Type Carbon Nanotube Field-Effect Transistors with

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Lecture 090 Large Signal MOSFET Model (3/24/10) Page 090-1

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

MOSFET TECHNOLOGY ADVANCES DC-DC CONVERTER EFFICIENCY FOR PROCESSOR POWER

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Automotive MOSFETs in Linear Applications: Thermal Instability

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

High Open Circuit Voltage of MQW Amorphous Silicon Photovoltaic Structures

SSM3K335R SSM3K335R. 1. Applications. 2. Features. 3. Packaging and Pin Configuration Rev.3.0. Silicon N-Channel MOS (U-MOS -H)

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Features. Symbol JEDEC TO-220AB

Digital Integrated Circuit (IC) Layout and Design - Week 3, Lecture 5

Within the next decade, computing technology will require. Sub-10 nm Carbon Nanotube Transistor

Lecture 8 MOSFET(I) MOSFET I-V CHARACTERISTICS

Device simulation. Maria Concetta Allia

TSM2N7002K 60V N-Channel MOSFET

How To Make A Field Effect Transistor (Field Effect Transistor) From Silicon P Channel (Mos) To P Channel Power (Mos) (M2) (Mm2)

MOSFET N-channel enhancement switching transistor IMPORTANT NOTICE. use

N-channel enhancement mode TrenchMOS transistor

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

Electronic transport properties of nano-scale Si films: an ab initio study

Lezioni di Tecnologie e Materiali per l Elettronica

STW20NM50 N-CHANNEL Tjmax Ω - 20ATO-247 MDmesh MOSFET

Copyright 2000 IEEE. Reprinted from IEEE MTT-S International Microwave Symposium 2000

NANOMOS 4.0: A TOOL TO EXPLORE ULTIMATE SI TRANSISTORS AND BEYOND. ADissertation. Submitted to the Faculty. Purdue University.

TECHNISCHE UNIVERSITÄT MÜNCHEN. Device Aging in Analog Circuits for Nanoelectronic CMOS Technologies

CMOS Thyristor Based Low Frequency Ring Oscillator

Solid State Detectors = Semi-Conductor based Detectors

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

Nanoelektronik: Von der Realität bis zur Utopie

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

Module 7 : I/O PADs Lecture 33 : I/O PADs

SEMICONDUCTOR I: Doping, semiconductor statistics (REF: Sze, McKelvey, and Kittel)

A Simulation Study on the Performance Improvement of CMOS Devices Using Alternative Gate Electrode Structures. M.Tech Rama Subrahmanyam Komaragiri

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

SLC vs. MLC: An Analysis of Flash Memory

5V Tolerance Techniques for CoolRunner-II Devices

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications)

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

3D NAND Technology Implications to Enterprise Storage Applications

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

BSN Description. 2. Features. 3. Applications. 4. Pinning information. N-channel enhancement mode field-effect transistor

DISCRETE SEMICONDUCTORS DATA SHEET M3D060. BLF177 HF/VHF power MOS transistor. Product specification Supersedes data of 1998 Jul 02.

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

TPN4R712MD TPN4R712MD. 1. Applications. 2. Features. 3. Packaging and Internal Circuit Rev.4.0. Silicon P-Channel MOS (U-MOS )

Transcription:

, pp.19-26 http://dx.doi.org/10.14257/ijast.2014.65.02 Influence of High-k Gate Dielectric on Nanoscale DG-MOSFET S. K. Mohapatra 1*, K. P. Pradhan 1 and P. K. Sahu 1 1 Dept. of Electrical Engineering, National Institute of Technology, Rourkela, - 769008, Odisha, India Skmctc74@gmail.com, kp2.etc@gmail.com, pksahu@nitrkl.ac.in Abstract Influence of dielectric materials as gate oxide on various short channel device parameters using a 2-D device simulator has been studied in this paper. It is found that the use of high-k dielectrics directly on the silicon wafer would degrade the performance. This degradation is mainly due to the fringing field effect developed from gate to source/drain. This fringing field will further generate electric field into the channel region from source/drain region which weakens the gate control. Therefore, by taking the gate stack engineering into account it has been shown that the induced electric field along the channel can be minimized as well as the device performance can be enhanced. This paper examined various parameters of the device like potential distribution from source and drain, threshold voltage (V th ), drain induced barrier lowering (DIBL), subthreshold slope (SS), on-current (I on ), off-current (I off ) and Transconductance (g m ) by taking different dielectric materials [SiO 2 ( =3.9), Si 3 N 4 ( =7.5), HfO 2 ( =24) and Ta 2 O 5 ( =50) ] as gate oxide (s). Keywords: Double gate MOSFET, High-k dielectric, Gate stack, Short channel effects (SCES), Equivalent oxide thickness (EOT) 1. Introduction The major limitation in scaling of CMOS devices is the gate dielectric thickness [1-3]. The conventional SiO 2 as gate dielectric has reached the point where film thickness is only a few atomic layers thick. At these dimensions or below 1.5 nm, the direct tunnelling dramatically increases the leakage current which, consequently increases the static power and hence affect the circuit operation as suggested by CAMPBELL et al. & RIBES et al. [4-5]. To get rid of this critical problem, high-k dielectrics have been introduced by taking directly on the silicon wafer or on the SiO 2 layer. While keeping the equivalent oxide thickness (EOT) constant, high-k dielectrics permits the increase in physical thickness (a factor of k/k SiO2 ) of the gate oxide to inhibit gate tunnelling [5-6]. However, it has been verified by device simulation that the use of high-k gate dielectrics directly on silicon wafer would degrade the short channel performance. This degradation is mainly caused by the fringing fields either from the gate to the source/drain regions or from the source/drain to the channel region which weakens the gate control [7]. But these shortcomings to some extent can be overcome by taking the gate stack (GS) configuration, i.e., high-k dielectrics over SiO 2 layer [8-11]. By taking a thick layer of dielectric material over a thin SiO 2 layer keeping EOT constant significantly reduces the gate tunnelling current. In addition, there are various limitations with the use of high-k gate dielectrics : 1) the use of high-k dielectrics results in poly silicon gate depletion effects and finite inversion layer ISSN: 2005-4238 IJAST Copyright c 2014 SERSC

(FIL) capacitance [6]. Gate depletion in current poly silicon gate technology increases the effective gate thickness and degrades the device performance. Therefore, to mitigate this problem, it is desirable to replace the poly silicon gate with mid-gap gates or metal gates. 2) Another challenge for integrating high-k is the threshold voltage stability during operation. The shift in V th known as hysteresis phenomenon is attributed to trapping of charges in the pre-existing traps without creation of additional traps. This problem is somewhat mitigated by considering the Hafnium (Hf)-based dielectrics [4].In this work, extensive simulations have been carried out to study the performance degradation due to fringing fields in high-k dielectrics and its minimization by considering gate stack engineering. Different GS configurations are simulated to optimize the device performance by integrating high-k dielectrics into various structures.. 2. Device Design and Structure The schematic structure of DG MOSFET is shown in Fig. 1. In this structure both the channel length (L) and Source/Drain length (L S /L D ) are fixed as 40nm, the silicon thickness (t Si ) is taken as 10nm and a uniform density of N D is taken as 10 20 cm -3. The channel is doped (N A ) with 10 16 cm -3. Two different types of structural models have been considered. The first model is structured considering single oxide layer and the second with double oxide layer or gate stack. In each case the EOT is fixed at 1.1nm. To maintain a constant capacitance for k=7.5, k=24 and k=50, the corresponding high -k thicknesses are 2.1nm, 6.8nm and 14.1nm respectively for single layer configurations. Further for double layer/gs configurations SiO 2 layer thickness is fixed at 0.6nm and above this layer 0.5nm equivalent thickness of high-k layer is deposited, so that the EOT reaches 1.1nm. This work considers the high-k dielectrics as Si 3 N 4, HfO 2 and Ta 2 O 5 and the work function for the gate material is taken as 4.8eV. 3. Device Simulation Figure 1. Schematic structure of Double Gate N-MOSFET To obtain accurate results for MOSFET simulation we need to account for the mobility degradation that occurs inside inversion layers. The degradation is normally occurs as a result of higher surface scattering near the semiconductor to insulator interface. So in the simulation the inversion-layer Lombardi constant voltage and temperature (CVT) mobility model was considered. The Shockley Read Hall (SRH) model simulates the leakage currents that exist due to thermal generation. Electrons in thermal equilibrium at given temperature with a semiconductor lattice obey Fermi-Dirac statistics. The use of Boltzmann statistics is normally justified in semiconductor device theory, however, Fermi-Dirac statistics are necessary to account for certain properties of very highly doped (degenerate) materials. The model Fermi- Dirac uses a Rational Chebyshev approximation that gives results close to the exact values. Auger recombination models for minority carrier recombination have been used. 20 Copyright c 2014 SERSC

Furthermore, we chose Gummel s method (or the decoupled method) which performs a Gummel iteration for Newton solution [12]. 4. Results and Discussion In Figure 2 & 3, I D -V GS transfer characteristics have been shown on linear scale and log scale for different device structures. Here different dielectric materials are considered as gate oxide for both single and double layer configurations and their characteristics have been analyzed for V DS =0.1V and 1.2V. The Sub threshold Slope (SS) is the major parameter for calculating the off state current. Furthermore, SS is calculated as: VG SS( mv / dec) (1) (log I ) The typical value for the SS of Multigate MOSFET is 60 mv/decade. In this paper the SS is extracted by calculating the inverse of maximum slope of V GS versus log (I D ) curve as shown in the TABLE 1. Therefore, comparing the values in TABLE 1, it is clear that with increasing high-k dielectric permittivity for the single oxide layer configurations, the SS value increases and reaches a value 75.53 mv/decade. But, for double layer/gate stack configuration the SS value nearly approaches the ideal value. D (a) (b) Figure 2. Behaviour of Drain Current (I D ) as a function of Gate Voltage (V GS ) in linear scale at (a) V DS =0.1V (b) V DS =1.0V (a) (b) Figure 3. Behaviour of Drain Current (I D ) as a function of Gate Voltage (V GS ) in log scale at (a) V DS =0.1V (b) V DS =1.0V Copyright c 2014 SERSC 21

The V th is also a very important parameter for higher on state current. The V th is amount of V GS when the I D is equal to 1x10-6 A. The extraction is given in Table 1. It is observed that for single oxide layer configuration at k=50 shows higher I on. But, at the same time it also offers a undesirable higher leakage current (I off ) in the order of 59pA. The g m versus V GS characteristics have been compared for different device structures as shown in Fig.4. As we know: gm I D VGS (2) The value of g m is extracted by taking the derivative of I D -V GS curve & the values are summarized in Table 2. It is observed from the said table that the GS configurations are showing higher values of g m. (a) (b) Figure 4. Variation of transconductance (g m ) with V GS for different configurations at (a) V DS =0.1V (b) V DS =1.0V The value of DIBL is calculated as per the relation : The GS structures shows structures. DIBL V V th (3) DS better values of DIBL as compared to single layer Table 1. Extracted Device Parameters for Different Cofigurations Configuration SS (mv/dec) I on (ma/µm) V th SS (mv/dec) I on (ma/um) V th At V DS =0.1V At V DS =1V DG k=3.9 62.01 0.64 0.422 62.22 1.41 0.409 DG k=7.5 62.15 0.64 0.421 62.32 1.42 0.407 DG k=24 65.13 0.65 0.403 65.37 1.48 0.377 DG k=50 75.53 0.67 0.337 76.34 1.73 0.255 GS-DG k=7.5 62.06 0.64 0.422 62.25 1.40 0.408 GS-DG k=24 61.80 0.66 0.423 61.94 1.49 0.410 GS-DG k=50 63.42 0.64 0.412 63.67 1.44 0.395 22 Copyright c 2014 SERSC

The I off is extracted by calculating the I D at V GS =0 and V DS =V DD. It is important to keep I off very small in order to minimize the device static power dissipation. From TABLE 2, it is clear that the I off value is very low for GS configuration which is one of the desired performance of the Device. Table 2. Extracted Parameters Configuration I off (pa) at V DS =0.2V g m (ms) at V DS =0.1V g m (ms) at V DS =1V DIBL (mv/v) DG (k=3.9) 0.393 1.81 3.63 14.863 DG (k=7.5) 0.397 1.82 3.64 15.019 DG (k=24) 0.845 1.81 3.66 29.342 DG (k=50) 59.028 1.71 3.65 90.308 GS-DG k=7.5) 0.394 1.80 3.58 14.937 GS-DG (k=24) 0.390 1.90 3.84 14.254 GS-DG (k=50) 0.430 1.81 3.63 19.269 Figure 5 represents the variations of DIBL, V th and SS values as a function of dielectric permittivity (k) for both single layer and double layer configurations (a) (b) (c) Figure 5. Variation of DIBL (a), Threshold Voltage (b) and SS (c) as a function of gate dielectric permittivity (k) for different configurations (a) k=3.9 (b) k=7.5 Copyright c 2014 SERSC 23

(c) k=24 (d) k=50 (e) k=7.5 GSDG (f) k=24 GSDG (g) k=50 GSDG Figure 6. The simulated results of the potential distribution in the gate insulator for different configurations keeping EOT as 1.1nm in each case. Figure for single layer configuration with k=3.9 (a), for single layer configuration with k=7.5 (b), for single layer configuration with k=24 (c), for single layer configuration with k=50 (d), for double layer/gs with k=7.5 (e), for double layer/gs with k=24 (f) and for double layer/gs with k=50 (g) Figure 6 shows the potential distribution of different device structures by considering various gate dielectric materials to investigate the effect of the fringing fields from source and drain through the gate insulator. By comparing the single layer structures shown in Figure 6 (b), (c) and (d), it is observed that with increasing k value (i.e. for k=7.5, 24 and 50), the electric fields from the source to the drain spread into the channel area. This results in lowering of the channel barrier potential and induces the short channel effects. However, from the structures shown in Figure 6 (e), (f) and (g) 24 Copyright c 2014 SERSC

with double layer/gs, the electric field lines gradually decreases from the channel. As a result the electrostatic interiority of the device is maintained. 5. Conclusion The impact of high-k gate dielectrics on short channel effects in both single and double layer gate oxide structures have been studied. The degradation in short channel performance of the device is analyzed by using ATLAS 2D device simulator. This paper investigates the effect of fringing fields from the source and drain to the channel region for different structures with varying dielectric permittivity. It is found that the degradation in short channel performance using high-k dielectrics is mainly due to the fringing fields from the gate to the source/drain regions. This work also shows that better short channel performance can be achievable by taking GS/double layer configurations for the DGMOSFET. It is possible to suppress the fringing fields, I off, SS and DIBLvalues & also to improve the performances of the nano scale DGMOSFET by considering a gate stack architecture using a thick layer of high-k dielectric material above a thin layer of SiO 2 maintaining constant EOT. References [1] T. Skotnicki and A. James, Hutchby, TsuJae King, H. S. Philip Wong, Frederic Boeuf, IEEE Circuits & Devices Magazine, no. 16, (2005). [2] F. Djeffal, M. Meguellati and A. Benhaya, Physica E, vol. 41, no. 1872, (2009). [3] K. F. Albertin, M. A. Valle and I. Pereyra, Journal Integrated Circuits and Systems, vol. 2, no. 89, (2007). [4] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent and G. Ghibaudo, IEEE Transactions On Device and Materials Reliability, vol. 5, no. 5, (2005). [5] S. A. Campbell, D. C. Gilmer, X. Wang, M. Hsieh, H. S. Kim, W. L. Gladfelter and J. Yan, IEEE Transactions on Electron Devices, vol. 44, no. 104, (1997). [6] B. Cheng, M. Cao, R. lrao, AnandInani, P. V. Voorde, W. M. Greene, J. M. C. Stork, Z. Yu, P. M. Zeitzoff and J. C. S. Woo, IEEE Transactions on Electron Devices, vol. 46, no. 1537, (1999). [7] A. Ohata, Solid State Electronics, vol. 48, no. 345, (2004). [8] B. H. Lee, S. C. Song, R. Choi and P. Kirsch, IEEE Transactions on Electron Devices, vol. 55, no. 8, (2008). [9] R. K. Sharma, M. Gupta and R. S. Gupta, IEEE Transactions on Electron Devices, vol. 58, no. 2936, (2011). [10] F. Zaunert, R. Endres, Y. Stefanov and U. Schwalke, Journal of Telecommunications and Information Technology, vol. 2, no. 78, (2007). [11] K. Kakushima, K.Tachi, M. Adachi, K. Okamoto, S. Sato, J. Song, T. Kawanago, P. Ahmet, K.Tsutsui, N. Sugii, T. Hattori and H. Iwai, Solid-State Electronics, vol. 54, no. 715, (2010). [12] ATLAS manual: SILVACO Int. Santa Clara, (2008). Copyright c 2014 SERSC 25

26 Copyright c 2014 SERSC