Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards

Size: px
Start display at page:

Download "Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards"

Transcription

1 Manufacturing Test of 3D Stacked ICs: Problems, Solutions and Standards Yassine Fkih Hakim Zimouche Giorgio Di Natale Marie-Lise Flottes Bruno Rouzeyre Pascal Vivet 27 June, 2013 MASTER 3D

2 3D-SICs Test Flow Die 1 Die 2 Pre-bond Test Pre-bond Test Stack 1+2 Mid-bond Test Die 3 Pre-bond Test Stack Post-bond Test 2

3 Motivation TAM Handling stimuli and responses Circuit TAM Circuit Pre-bond test of TSVs 3

4 Outline Pre-bond test of TSVs Test Access Mechanism for 3D-SIC Conclusions 4

5 Electrical and Fault Models TSV Oxide Substrate Rup Rdown CTSV Pinhole Micro-void Rup R up Rdown CTSV Rdown Broken TSV Rup CTSV Rdown C TSV 5

6 Electrical and Fault Models TSV The delay of RC network is affected in presence of defects Oxide Substrate Rup Rdown CTSV Pinhole Micro-void Rup R up Rdown CTSV Rdown Broken TSV Rup CTSV Rdown C TSV 6

7 Solutions Direct measurements of the discharge time of RC network Ring Oscillator, whose frequency depends on the RC delay 7

8 Solution 1: direct measurement!! ST 65nm technology! 8

9 Solution 1: direct measurement Resolution: 5%!!! 9

10 Solution 2: Ring Oscillators cmd cmd Functional Logic TSV Test Controller Good TSV: F=530MHz Faulty TSV (C faultytsv =C goodtsv /2): F=770MHz 10

11 Solution 2: Ring Oscillators (integration with ) >*+(6)+1;' ;)=<(' 3 '! 3 ' "!<+=')&(<;;1,).&'' -+1A;-' Resetn Clk_ext 8"93' :)+,.);;<+='>9?' TSV_BIST_Start Ringo_number Count_enble Capture_clock Compare_min_max Resetn Clk_ringo!"#$%&'()*+,-.' ()/01.1,).' Comparison_result Ringo_index_max[4:0] Ringo_index_min[4:0] Min_count[11:0] Max_count[11:0] 3?9' 3B"' 3!93+' "+&,.*(6)+'7-()7-.' ' ' 234$'345' 3B%' 11

12 Solution 2: Ring Oscillators (integration with ) $#+% $#+%,-./)0% 1)2(% '3*)&&-.'/%!"#$%&'()*% $#+% 1)2(% '3*)&&-.'/% STMicroelectronics CMOS 65nm 10µm diameter, 80µm depth, 50µm x 40µm pitch Wide IO interface (6*46 TSVs) Ring Oscillator designed as a macro cell, integrated within the TSVs matrix 12

13 Comparison Direct measurements of the discharge time of RC network More sensitive to variability Faster Ring Oscillator, whose frequency depends on the RC delay More robust Slower 13

14 Outline Pre-bond test of TSVs Test Access Mechanism for 3D-SIC Conclusions 14

15 Test Infrastructures and Standards Wrappers and Test Access Mechanisms (TAMs) to test and debug modules within the system Standards follow technologies Standard System Module PCB Chip 1500 SoC Core P1687 SoCs Cores + IPs P1838 3D-SIC Die 15

16 Automatic Die Detection (extension applicable to and 1500) Vdd 0 1 CUT Gnd 16

17 Automatic Die Detection (extension applicable to and 1500) Vdd 0 1 CUT Gnd 17

18 Automatic Die Detection (extension applicable to and 1500) Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd 18

19 Automatic Die Detection (extension applicable to and 1500) Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd Vdd 0 1 CUT Gnd 19

20 Extending P1687 Compared to (JTAG) and 1500, P1687 (IJTAG) networks are dynamic and variable in their configuration Segments can be added or subtracted as requirements change IJTAG standard is flexible from an architectural standpoint 20

21 3D DFT proposal with P1687 The stack and each die are compliant to JTAG and IJTAG Die detectors It allows pre-, mid- and post-bond tests Cooperation with Mentor Tessent 21

22 Conclusions 3D SIC Test: New problems (mainly: TSV pre-bond testing and Test Infrastructures) New solutions and standards (hopefully) are coming 22

23 Thank you!! 23

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Cristiano Santos 1,2, Pascal Vivet 1, Philippe Garrault 3, Nicolas Peltier 3, Sylvian

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

3D ICs with TSVs Design Challenges and Requirements

3D ICs with TSVs Design Challenges and Requirements 3D ICs with TSVs Design Challenges and Requirements 3D integrated circuits (ICs) with through-silicon vias (TSVs) offer new levels of efficiency, power, performance, and form-factor advantages to the semiconductor

More information

Curriculum Vitae. Giorgio Di Natale. Chargé de Recherche CNRS - Section 7

Curriculum Vitae. Giorgio Di Natale. Chargé de Recherche CNRS - Section 7 Curriculum Vitae Giorgio Di Natale Chargé de Recherche CNRS - Section 7 LIRMM 161, rue Ada Montpellier, 34095 T +33467418501 F +33467418500 giorgio.dinatale@lirmm.fr 1. Curriculum Vitae 1.1. Personal Information

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Testing System-In-Package Wirelessly

Testing System-In-Package Wirelessly Testing System-In-Package Wirelessly Serge Bernard, David Andreu, Marie-Lise Flottes, Philippe Cauvet, Hervé Fleury, Fabrice Verjus To cite this version: Serge Bernard, David Andreu, Marie-Lise Flottes,

More information

1. Submission Rules. 2. Verification tools. 3. Frequent errors

1. Submission Rules. 2. Verification tools. 3. Frequent errors Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds

More information

FEATURES DESCRIPTION. PT6321 Fluorescent Display Tube Controller Driver

FEATURES DESCRIPTION. PT6321 Fluorescent Display Tube Controller Driver Fluorescent Display Tube Controller Driver DESCRIPTION The PT6321 is a dot matrix fluorescent display tube controller driver IC which displays characters, numerics and symbols. Dot matrix fluorescent display

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

Test Circuit for Vectorless Open Lead Detection of CMOS ICs

Test Circuit for Vectorless Open Lead Detection of CMOS ICs Test Circuit for Vectorless Open Lead Detection of CMOS ICs M.Hashizume ( ), M.Ichimiya ( ), A.Ono ( ), H.Yotsuyanagi ( ) :The Univ. of Tokushima, JAPAN :Takuma National College of Technology, JAPAN Slide

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis Oliver Schrape 1, Frank Winkler 2, Steffen Zeidler 1, Markus Petri 1, Eckhard Grass 1, Ulrich Jagdhold 1 International

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

AN4324 Application note

AN4324 Application note Application note STC3115 system integration Aurelien Mazard Introduction Mobile application users demand accurate battery capacity monitoring. In particular, they are interested to know if the battery

More information

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Erik Larsson Linköping University Gunnar Carlsson Ericsson ABSTRACT Modern chips may contain a large number

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

EMC / EMI issues for DSM: new challenges

EMC / EMI issues for DSM: new challenges EMC / EMI issues for DSM: new challenges A. Boyer, S. Ben Dhia, A. C. Ndoye INSA Toulouse Université de Toulouse / LATTIS, France www.ic-emc.org Long Term Reliability in DSM, 3rd October, 2008 www.ic-emc.org

More information

Memory Architecture and Management in a NoC Platform

Memory Architecture and Management in a NoC Platform Architecture and Management in a NoC Platform Axel Jantsch Xiaowen Chen Zhonghai Lu Chaochao Feng Abdul Nameed Yuang Zhang Ahmed Hemani DATE 2011 Overview Motivation State of the Art Data Management Engine

More information

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND

Features. Modulation Frequency (khz) VDD. PLL Clock Synthesizer with Spread Spectrum Circuitry GND DATASHEET IDT5P50901/2/3/4 Description The IDT5P50901/2/3/4 is a family of 1.8V low power, spread spectrum clock generators capable of reducing EMI radiation from an input clock. Spread spectrum technique

More information

A Case Study of Application Development and Production Code Generation for a Telematics ECU with Full Unified Diagnostics Services

A Case Study of Application Development and Production Code Generation for a Telematics ECU with Full Unified Diagnostics Services A Case Study of Application Development and Production Code Generation for a Telematics ECU with Full Unified Diagnostics Services Plan A little about Embed and our Ethos Description of the telematics

More information

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations Alan J. Albee Teradyne Inc. North Reading, Massachusetts

More information

SiP & Embedded Passives ADEPT-SiP Project

SiP & Embedded Passives ADEPT-SiP Project System-in-Package () and the ADEPT- Project David Pedder TWI Ltd, Granta Park, Great Abington, Cambridge Copyright 2006 ADEPT- & Embedded Passives ADEPT- Project Objectives Programme Partners ADEPT- Architecture

More information

How To Integrate 3D-Ic With A Multi Layer 3D Chip

How To Integrate 3D-Ic With A Multi Layer 3D Chip 3D-IC Integration Developments Cooperation for servicing and MPW runs offering Agenda Introduction Process overview Partnership for MPW runs service 3D-IC Design Platform First MPW run Conclusion 3D-IC

More information

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor A Starter Guide Joseph Yiu November 2014 version 1.02 27 Nov 2014 1 - Background Since the ARM Cortex -M0 Processor was released a few years

More information

Design-Kits, Libraries & IPs

Design-Kits, Libraries & IPs Design-Kits, Libraries & IPs Supported CAD tools Design-kits overview Digital, Analog, and RF Libraries IPs Supported CAD tools Design-kits overview ST 65nm Tanner PDK Standard cell Libraries IPs austriamicrosystems

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005 Ingar Fredriksen AVR Applications Manager Tromsø August 12, 2005 Atmel Norway 2005 Atmel Norway 2005 The history of computers Foundation for modern computing 3 An automatic computing machine must have:

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual Architetture di bus per System-On On-Chip Massimo Bocchi Corso di Architettura dei Sistemi Integrati A.A. 2002/2003 System-on on-chip motivations 400 300 200 100 0 19971999 2001 2003 2005 2007 2009 Transistors

More information

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS ICONIC 2007 St. Louis, MO, USA June 27-29, 2007 A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS Ali Alaeldine 12, Alexandre Boyer 3, Richard Perdriau 1, Sonia Ben Dhia

More information

Photonic components for signal routing in optical networks on chip

Photonic components for signal routing in optical networks on chip 15 th International Conference on Transparent Optical Networks Cartagena, Spain, June 23-27, 213 Photonic components for signal routing in optical networks on chip Vincenzo Petruzzelli, Giovanna Calò Dipartimento

More information

INF4420 Introduction

INF4420 Introduction INF4420 Introduction Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Practical information about the course. Context (placing what we will learn in a larger context) Outline of the

More information

Influence of the Socket on Chip-level ESD Testing

Influence of the Socket on Chip-level ESD Testing 266 PIERS Proceedings, Guangzhou, China, August 25 28, 2014 Influence of the Socket on Chip-level ESD Testing Yu Xiao 1, Jiancheng Li 2, Jianfei Wu 2, Yunzhi Kang 3, and Jianwei Su 1 1 P. O. Box 9010,

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Bi-directional level shifter for I²C-bus and other systems.

Bi-directional level shifter for I²C-bus and other systems. APPLICATION NOTE Bi-directional level shifter for I²C-bus and other Abstract With a single MOS-FET a bi-directional level shifter circuit can be realised to connect devices with different supply voltages

More information

Implementing a Digital Answering Machine with a High-Speed 8-Bit Microcontroller

Implementing a Digital Answering Machine with a High-Speed 8-Bit Microcontroller Implementing a Digital Answering Machine with a High-Speed 8-Bit Microcontroller Zafar Ullah Senior Application Engineer Scenix Semiconductor Inc. Leo Petropoulos Application Manager Invox TEchnology 1.0

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Tire pressure monitoring

Tire pressure monitoring Application Note AN601 Tire pressure monitoring 1 Purpose This document is intended to give hints on how to use the Intersema pressure sensors in a low cost tire pressure monitoring system (TPMS). 2 Introduction

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

ECE 492 SENIOR PROJECT I MINI SUMO ROBOT

ECE 492 SENIOR PROJECT I MINI SUMO ROBOT ECE 492 SENIOR PROJECT I MINI SUMO ROBOT STUDENTS EMRAH ÇAĞLAR CİHAN ARDA Supervisor: Assist. PROF. DR. ORHAN GAZi ECE492 Senior Project Presentation by Emrah CAGLAR, Cihan ARDA 1 DIGITEST ELECTRONICS

More information

Naveen Muralimanohar Rajeev Balasubramonian Norman P Jouppi

Naveen Muralimanohar Rajeev Balasubramonian Norman P Jouppi Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0 Naveen Muralimanohar Rajeev Balasubramonian Norman P Jouppi University of Utah & HP Labs 1 Large Caches Cache hierarchies

More information

Managing and Maintaining Windows Server 2008 Servers

Managing and Maintaining Windows Server 2008 Servers Managing and Maintaining Windows Server 2008 Servers Course Number: 6430A Length: 5 Day(s) Certification Exam There are no exams associated with this course. Course Overview This five day instructor led

More information

THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI TESTING

THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI TESTING Zbornik radova 56. Konferencije za ETRAN, Zlatibor, 11-14. juna 2012. Proc. 56th ETRAN Conference, Zlatibor, June 11-14, 2012 THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Wireless Security Camera

Wireless Security Camera Wireless Security Camera Technical Manual 12/14/2001 Table of Contents Page 1.Overview 3 2. Camera Side 4 1.Camera 5 2. Motion Sensor 5 3. PIC 5 4. Transmitter 5 5. Power 6 3. Computer Side 7 1.Receiver

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

How to Improve Tablet PCs and Other Portable Devices with MEMS Timing Technology

How to Improve Tablet PCs and Other Portable Devices with MEMS Timing Technology How to Improve Tablet PCs and Other Portable Devices with MEMS Timing Technology The tremendous success of tablets and smart phones such as the ipad, iphone and Android based devices presents both challenges

More information

Evolution and Prospect of Single-Photon

Evolution and Prospect of Single-Photon S. Cova, M. Ghioni, A. Lotito, F. Zappa Evolution and Prospect of Single-Photon Avalanche Diodes and Quenching Circuits Politecnico di Milano, Dip. Elettronica e Informazione, Milano, Italy Outline Introduction

More information

G. Squillero, M. Rebaudengo. Test Techniques for Systems-on-a-Chip

G. Squillero, M. Rebaudengo. Test Techniques for Systems-on-a-Chip G. Squillero, M. Rebaudengo Test Techniques for Systems-on-a-Chip December 2005 Preface Fast innovation in VLSI technologies makes possible the integration a complete system into a single chip (System-on-Chip,

More information

LCD MODULE DEM 128064B FGH-PW

LCD MODULE DEM 128064B FGH-PW DISPLAY Elektronik GmbH LCD MODULE DEM 128064B FGHPW Version : 2.1.1 30.09.2008 GENERAL SPECIFICATION MODULE NO. : DEM 128064B FGHPW VERSION NO. CHANGE DESCRIPTION DATE 0 ORIGINAL VERSION 27.11.2006 1

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16290(LED TYPES) EXAMINED BY : FILE NO. CAS-10251 ISSUE : JUL.03,2001 TOTAL PAGE : 7

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16290(LED TYPES) EXAMINED BY : FILE NO. CAS-10251 ISSUE : JUL.03,2001 TOTAL PAGE : 7 EXAMINED BY : FILE NO. CAS-10251 EMERGING DISPLAY ISSUE : JUL.03,2001 APPROVED BY: TECHNOLOGIES CORPORATION TOTAL PAGE : 7 VERSION : 1 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 16290(LED TYPES) FOR

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

Latch-up Testing. Barry Fernelius. June 2013. bfernelius@eaglabs.com. 2013 Evans Analytical Group

Latch-up Testing. Barry Fernelius. June 2013. bfernelius@eaglabs.com. 2013 Evans Analytical Group Latch-up Testing Barry Fernelius bfernelius@eaglabs.com June 2013 2013 Evans Analytical Group Agenda Introduction What is Latch-up? EAG test capabilities Overview of the Latch-up test Latch-up Testing

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

HT6P20X Series 2 24 OTP Encoder

HT6P20X Series 2 24 OTP Encoder 2 24 OTP Encoder Features Operating voltage: 2V~12V Low power consumption Built-in oscillator needs only 5% resistor 2/4 data selectable 2 24 maximum address and data codes Easy interface with an RF or

More information

CMOS Thyristor Based Low Frequency Ring Oscillator

CMOS Thyristor Based Low Frequency Ring Oscillator CMOS Thyristor Based Low Frequency Ring Oscillator Submitted by: PIYUSH KESHRI BIPLAB DEKA 4 th year Undergraduate Student 4 th year Undergraduate Student Electrical Engineering Dept. Electrical Engineering

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

SPADIC: CBM TRD Readout ASIC

SPADIC: CBM TRD Readout ASIC SPADIC: CBM TRD Readout ASIC Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de HIC for FAIR, Darmstadt Schaltungstechnik Schaltungstechnik und und February 2011 Visit http://spadic.uni-hd.de 1. Introduction

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) Ajay Joshi and Jeff Davis AIMD Research Group Georgia Institute of Technology Sponsored by: NSF # 0092450

More information

Memory Module Specifications KVR667D2D4F5/4G. 4GB 512M x 72-Bit PC2-5300 CL5 ECC 240-Pin FBDIMM DESCRIPTION SPECIFICATIONS

Memory Module Specifications KVR667D2D4F5/4G. 4GB 512M x 72-Bit PC2-5300 CL5 ECC 240-Pin FBDIMM DESCRIPTION SPECIFICATIONS Memory Module Specifications KVR667DD4F5/4G 4GB 5M x 7-Bit PC-5300 CL5 ECC 40- FBDIMM DESCRIPTION This document describes s 4GB (5M x 7-bit) PC-5300 CL5 SDRAM (Synchronous DRAM) fully buffered ECC dual

More information

HT12A/HT12E 2 12 Series of Encoders

HT12A/HT12E 2 12 Series of Encoders 2 2 Series of Encoders Features Operating voltage 2.4V~5V for the HT2A 2.4V~2V for the HT2E Low power and high noise immunity CMOS technology Low standby current: 0.A (typ. at V DD =5V HT2A with a 38kHz

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 20400 (LED TYPES) EXAMINED BY : FILE NO. CAS-10184 ISSUE : DEC.01,1999 TOTAL PAGE : 7 APPROVED BY:

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 20400 (LED TYPES) EXAMINED BY : FILE NO. CAS-10184 ISSUE : DEC.01,1999 TOTAL PAGE : 7 APPROVED BY: EXAMINED BY : FILE NO. CAS-10184 APPROVED BY: EMERGING DISPLAY TECHNOLOGIES CORPORATION ISSUE : DEC.01,1999 TOTAL PAGE : 7 VERSION : 2 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 20400 (LED TYPES) FOR

More information

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation Abstract EMC compatibility is becoming a key design

More information

SLG7NT4129 PCIE RTD3. Pin Configuration. Features Low Power Consumption Dynamic Supply Voltage RoHS Compliant / Halogen-Free Pb-Free TDFN-12 Package

SLG7NT4129 PCIE RTD3. Pin Configuration. Features Low Power Consumption Dynamic Supply Voltage RoHS Compliant / Halogen-Free Pb-Free TDFN-12 Package General Description Silego SLG7NT4129 is a low power and small form device. The SoC is housed in a 2.5mm x 2.5mm TDFN package which is optimal for using with small devices. Features Low Power Consumption

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

Design Tips for Low Noise Readout PCBs Or: How black magic can lead to success

Design Tips for Low Noise Readout PCBs Or: How black magic can lead to success Design Tips for Low Noise Readout PCBs Or: How black magic can lead to success Tim Armbruster tim.armbruster@ziti.uni-heidelberg.de SuS Monday Meeting Schaltungstechnik Schaltungstechnik und und April

More information

etape Continuous Fluid Level Sensor Operating Instructions and Application Notes

etape Continuous Fluid Level Sensor Operating Instructions and Application Notes etape Continuous Fluid Level Sensor Operating Instructions and Application Notes TM 1 Table of Contents 1.0 Specifications... 3 2.0 Theory of Operation... 3 3.0 Connection and Installation... 4 4.0 Technical

More information

CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT

CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT CMOS 5GHz WLAN 802.11a/n/ac RFeIC WITH PA, LNA, AND SPDT Description RFX8055 is a highly integrated, single-chip, single-die RFeIC (RF Front-end Integrated Circuit) which incorporates key RF functionality

More information

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16400(LED TYPES) EXAMINED BY : FILE NO. CAS-10068 ISSUE : JAN.19,2000 TOTAL PAGE : 7 APPROVED BY:

EMERGING DISPLAY CUSTOMER ACCEPTANCE SPECIFICATIONS 16400(LED TYPES) EXAMINED BY : FILE NO. CAS-10068 ISSUE : JAN.19,2000 TOTAL PAGE : 7 APPROVED BY: EXAMINED BY : FILE NO. CAS-10068 APPROVED BY: EMERGING DISPLAY TECHNOLOGIES CORPORATION ISSUE : JAN.19,2000 TOTAL PAGE : 7 VERSION : 3 CUSTOMER ACCEPTANCE SPECIFICATIONS MODEL NO. : 16400(LED TYPES) FOR

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

Wireless Approach for SIP and SOC Testing

Wireless Approach for SIP and SOC Testing Wireless Approach for SIP and SOC Testing Ziad Noun To cite this version: Ziad Noun. Wireless Approach for SIP and SOC Testing. Micro and nanotechnologies/microelectronics. Université Montpellier II -

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS Fold-Back Characteristic provides Overload Protection for External Diodes Burst Operation under Short-Circuit and no Load Conditions

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

On-Chip Interconnect: The Past, Present, and Future

On-Chip Interconnect: The Past, Present, and Future On-Chip Interconnect: The Past, Present, and Future Professor Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester URL: http://www.ece.rochester.edu/~friedman Future

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering Extended Boundary Scan Test breaching the analog ban Marcel Swinnen, teamleader test engineering 11-11-2014 2 zero-defect quality impossible to produce zero-defect boards early involvement services (Design

More information

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Outline Introduction CAD design tools for embedded components Thermo mechanical design rules

More information

Application Note, V 2.2, Nov. 2008 AP32091 TC1766. Design Guideline for TC1766 Microcontroller Board Layout. Microcontrollers. Never stop thinking.

Application Note, V 2.2, Nov. 2008 AP32091 TC1766. Design Guideline for TC1766 Microcontroller Board Layout. Microcontrollers. Never stop thinking. Application Note, V 2.2, Nov. 2008 AP32091 TC1766 Design Guideline for TC1766 Microcontroller Board Layout Microcontrollers Never stop thinking. Edition Published by Infineon Technologies AG 81726 München,

More information

23-26GHz Reflective SP4T Switch. GaAs Monolithic Microwave IC in SMD leadless package

23-26GHz Reflective SP4T Switch. GaAs Monolithic Microwave IC in SMD leadless package CHS2411-QDG Description GaAs Monolithic Microwave IC in SMD leadless package The CHS2411-QDG (CHS2412-QDG, see Note) is a monolithic reflective SP4T switch in K-Band. Positive supply voltage only is required.

More information

LCM NHD-12032BZ-FSW-GBW. User s Guide. (Liquid Crystal Display Graphic Module) RoHS Compliant. For product support, contact

LCM NHD-12032BZ-FSW-GBW. User s Guide. (Liquid Crystal Display Graphic Module) RoHS Compliant. For product support, contact User s Guide -FSW-GBW LCM (Liquid Crystal Display Graphic Module) RoHS Compliant NHD- 12032- BZ- F - SW- G- B- W- Newhaven Display 120 x 32 pixels Version Line Transflective Side White LED B/L STN- Gray

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

In-System Programmability

In-System Programmability In-System Programmability in MAX Devices September 2005, ver. 1.5 Application Note 95 Introduction Features & Benefits MAX devices are programmable logic devices (PLDs), based on the Altera Multiple Array

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information