TK6103 MEMS key expertise, key projects, key customers, highlights Jyrki Kiihamäki VTT Technical Research Centre of Finland

Size: px
Start display at page:

Download "TK6103 MEMS key expertise, key projects, key customers, highlights. 28.1.2011 Jyrki Kiihamäki VTT Technical Research Centre of Finland"

Transcription

1 TK6103 MEMS key expertise, key projects, key customers, highlights Jyrki Kiihamäki VTT Technical Research Centre of Finland

2 2 Sales speech (to be used while standing in elevator) We turn MEMS design ideas into working wafer level prototypes using our superior competence in development of process steps and seamlessly integrating them into functional fabrication process flows Our customers are mainly companies somehow involved in MEMS device supply chain (materials, equipment, and sensor manufacturers) Our strenghts are long experience in key areas of this multi-disciplinary field, good relationships with the other local and international players, and mastering of the toolset available in Micronova clean room We differentiate ourselves from others by having Widest set of processing competences High proportion of female scientists

3 3 Expertise IC-compatible MEMS process development and process integration DRIE (deep silicon etching) and SOI-MEMS Sacrificial layer etching (wet, HF-vapor etching, O 2 -plasma) Thin film MEMS (surface micromachining, polysilicon MEMS, ALD films, integration of piezo-films) Amorphous metals Wafer bonding Devices Si resonators FPI, thermopiles, IR-sources Magnetometers, accelometers Pressure sensors and many more

4 4 Projects Customers Vaisto (Vaisala production) Si-resonators (VTI cooperation) CSOI research (in several projects) E3car, ESIP Alebond IQ-Fuel (MEMS parts) Cosy-3D MEMS Relia VTI Technologies Oy Okmetic Oyj Vaisala Picosun, IR, Oxford Instruments,

5 5 Highlights DRIE development Etch result Width at a top 210 nm Depth 16.6 um aspect ratio 80:1 Reticle opening 1.2 um Visible light FPI ALD-nanolaminates CSOI accelometers Resonators

6 6 Publications 2010 Electrically tunable surface micromachined Fabry-Perot interferometer for visible light Blomberg, Martti; Kattelus, Hannu; Miranto, Akseli Sensors and Actuators A: Physical. Elsevier. Vol. 162 (2010), ALD thin films in MEMS Fabry-Perot interferometers Rissanen, Anna; Blomberg, Martti; Puurunen, Riikka; Kattelus, Hannu 10th International Conference on Atomic Layer Deposition, ALD Seoul, South-Korea, June Conference DVD. The Materials Research Society of Korea. Seoul (2010) Experimental study of the effects of size variations on piezoelectrically transduced MEMS resonators Jaakkola, Antti; Lamy, J.; Dekker, James; Pensala, Tuomas 2010 IEEE International Frequency Control Symposium (FCS). Newport Beach, CA, USA, 1-4 June IEEE. Piscataway, NJ, USA (2010), MEMS and piezo actuator based Fabry-Perot interferometer technologies and applications at VTT Antila, Jarkko; Miranto, Akseli; Mäkynen, Jussi; Laamanen, Mari; Rissanen, Anna; Blomberg, Martti; Saari, Heikki; Malinen, Jouko Next-Generation Spectroscopic Technologies III. Orlando, FL, USA, 5-6 April 2010 Proceedings of SPIE - The International Society for Optical Engineering, Article number 76800U. Vol (2010) Electrically tunable surface micromachined Fabry-Perot interferometer for visible light Blomberg, Martti; Kattelus, Hannu; Miranto, A. Sensors and Actuators A: Physical. Vol. 162 (2010) No: 2, Thin film absorbers for visible, near-infrared, and short-wavelength infrared spectra Laamanen, Mari; Blomberg, Martti; Puurunen, Riikka; Miranto, Akseli; Kattelus, Hannu Sensors and Actuators A: Physical. Vol. 162 (2010) No: 2, Low-Temperature Processes for MEMS Device Fabrication Kiihamäki, Jyrki; Kattelus, Hannu; Blomberg, Martti; Puurunen, Riikka; Laamanen, Mari; Pekko, Panu; Saarilahti, Jaakko; Ritala, Heini; Rissanen, Anna NATO Science for Peace and Security Series B: Physics and Biophysics : Advanced Materials and Technologies for Micro/Nano-Devices, Sensors and Actuators. Part 3. Springer. The Netherlands (2010), A process for SOI resonators with surface micromachined covers and reduced electrostatic gaps Dekker, James, R.; Alastalo, Ari; Kattelus, Hannu Journal of Micromechanics and Microengineering. IOP Science. Vol. 20 (2010) No: 4, Atomic layer deposition in MEMS technology (chapter 26) Puurunen, Riikka; Kattelus, Hannu; Suntola, Tuomo Handbook of Silicon Based MEMS Materials and Technologies. Elsevier. Boston, USA; Oxford, UK (2010), Studies on aluminium corrosion during and after HF vapour treatment Ritala, Heini; Kiihamäki, Jyrki; Heikkilä, Mikko Microelectronic Engineering. Vol. 87 (2010) No: 3,

7 7 Faces from Welppu, in no particular order Riikka Panu Meeri Mari Kirsi Jyrki Jaakko Heini Gao Ari Anna James Martti is currently the CTO of VTT Memfab Ltd.

8 8 HF vapor etching of SiO 2 Applications: Release etching: No drying, non sticking, fragile small structures Dry mask oxide etching Does not etch Al Resonators, Fabry Perot interferometers Process gases: Anhydrous HF Ethanol Nitrogen Temperature 45 C Pressure bar 3 pcs 150 or 200 mm wafers, carrier for pieces Etch rate of thermal oxide 0.1 bar 10 nm/min and 0.2 bar 100 nm/min H 2 O ( and EtOH) ionizes HF and thereby initiates etching reaction: 2HF + H 2 O HF 2- + H 3 O + SiO 2 + 2HF H 3 O + SiF 4 + 4H 2 O - Water initiates & catalyses process - Ethanol scavenges water vapor from the wafer surface & catalyses etching reaction

9 9 Monolithically integrated visible light spectrometer Scientific and technological outcome Target of the project: fabricating and characterizing monolithically integrated ( =500 nm) FPIs on photodiodes as well as separate MEMS FPIs for visible light, and realizing a miniature spectrometer demonstration for Hannover Messe Main results: First in the world technology: fabrication of monolithically integrated FPIs on photodiodes for = 500 nm Extending the wavelength range of ALD FPI technology - fabrication of the separate FPI chips for = 420 nm/500 nm and testing of structures for = 600 nm/670 nm/750nm Realization of miniature pen spectrometer demonstration (measurement range 430 nm 570 nm) for Hannover Messe First in the world MEMS FPI aperture size: largest diameter 2mm (market competitor 1,95 mm) Exploitation potentiality: fluorescence imaging in diagnostics, industrial (bio)process monitoring, colorimetry for waste water analysis, environmental monitoring Monolithical FPI measurement results Contact person: Anna Rissanen

10 10 MEMS team summary (impartially balanced scorecard) People Highly skilled, multidisciplinary team, 60% of researchers have doctors degree (chemistry, physics, electronics) 20% of researchers are from abroad Big equipment responsibilities (stepper, plasma etchers, vapor etcher, spin etcher, ALD, PECVD) Projects Mainly jointly funded projects (~ 65%) Profitable contract research (~ 25%) Basic funding (< 10%) Average utilization rate ~85% Scientific publications (according to JURE: ten publications in 2010)

11 11 WANTED! dead or alive A research scientist with slanted sense of humour Special characteristics: Expertise in clean room work and process development Knowledge on analysis methods and tools, process & device modelling and simulation tools Education: Higher university degree in Electronics, Physics or Chemistry (Doctoral degree is a bonus) Background: Industry or international experience is highly appreciated, too This is just an advance warning. Official announcement will be published Feb/Mar 2011, meanwhile you can fill open application

12 12 VTT creates business from technology

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program

Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Sandia Agile MEMS Prototyping, Layout Tools, Education and Services Program Heather Schriner, Brady Davies, Jeffry Sniegowski, M. Steven Rodgers, James Allen, Charlene Shepard Sandia National Laboratories

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

ENS 07 Paris, France, 3-4 December 2007

ENS 07 Paris, France, 3-4 December 2007 ENS 7 Paris, France, 3-4 December 7 FRICTION DRIVE SIMULATION OF A SURFACE ACOUSTIC WAVE MOTOR BY NANO VIBRATION Minoru Kuribayashi Kurosawa, Takashi Shigematsu Tokyou Institute of Technology, Yokohama

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

19 th INTERNATIONAL CONGRESS ON ACOUSTICS MADRID, 2-7 SEPTEMBER 2007

19 th INTERNATIONAL CONGRESS ON ACOUSTICS MADRID, 2-7 SEPTEMBER 2007 19 th INTERNATIONAL CONGRESS ON ACOUSTICS MADRID, 2-7 SEPTEMBER 2007 MICROMACHINED MICROPHONES WITH DIFFRACTION-BASED OPTICAL INTERFEROMETRIC READOUT AND ELECTROSTATIC ACTUATION PACS: 43.38.Ar F. Levent

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Chalmers Publication Library

Chalmers Publication Library Chalmers Publication Library Contactless pin-flange adapter for high-frequency measurements This document has been downloaded from Chalmers Publication Library (CPL). It is the author s version of a work

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

III. MEMS Projection Helvetica 20 Displays

III. MEMS Projection Helvetica 20 Displays Helvetica 26 Helvetica 22 III. MEMS Projection Displays Micro Mirror Projection - Texas Instruments DMD - Daewoo Elec. AMA Grating Light Valve - Silicon Light Machines Image Projection Color Synthesis

More information

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS

Case Study 2: Digital Micromirror Devices (DMD) Optical MEMS Case Study : Digital Micromirror Devices (DMD) Chapter of Senturia A MEMS-based projection display, Van Kessel, P.F.; Hornbeck, L.J.; Meier, R.E.; Douglass, M.R., Proc. IEEE, Vol. 86 pp.1687-174 1998 http://www.dlp.com/

More information

Resolution and speed improvements of mid infrared Fabry-Perot microspectrometers for the analysis of hydrocarbon gases

Resolution and speed improvements of mid infrared Fabry-Perot microspectrometers for the analysis of hydrocarbon gases Resolution and speed improvements of mid infrared Fabry-Perot microspectrometers for the analysis of hydrocarbon gases Martin Ebermann 1, Norbert Neumann 1, Karla Hiller 2, Mario Seifert 2, Marco Meinig,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Using VIS/NIR and IR spectral cameras for detecting and separating crime scene details

Using VIS/NIR and IR spectral cameras for detecting and separating crime scene details Using VIS/NIR and IR spectral cameras for detecting and separating crime scene details Jaana kuula* a, Ilkka Pölönen a, Hannu-Heikki Puupponen a, Tuomas Selander a, Tapani Reinikainen b, Tapani Kalenius

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

2004 Elsevier Science. Reprinted with permission from Elsevier.

2004 Elsevier Science. Reprinted with permission from Elsevier. M. Koskenvuori, T. Mattila, A. Häärä, J. Kiihamäki, I. Tittonen, A. Oja, and H. Seppä, Long term stability of single crystal silicon microresonators, Sensors and Actuators A: Physical, 115, pp. 23 27 (24).

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer

IMA. Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer Bauelemente. Sören Irmer Sören Irmer Workshop "Oberflächentechnologie mit Plasma- und Ionenstrahlprozessen - Mühlleithen, 02. März 2004 Reaktives Ionenätzen für die Herstellung vertikaler, mikromechanisch aktuierbarer, optischer

More information

Realization of a UV fisheye hyperspectral camera

Realization of a UV fisheye hyperspectral camera Realization of a UV fisheye hyperspectral camera Valentina Caricato, Andrea Egidi, Marco Pisani and Massimo Zucco, INRIM Outline Purpose of the instrument Required specs Hyperspectral technique Optical

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

How To Choose A Total Organic Carbon Analyzer

How To Choose A Total Organic Carbon Analyzer Detection Selection for TOC Analysis: Analytical Considerations for Cleaning Validation. Applications Note By: Stephen Lawson and Brian Wallace The task of choosing a Total Organic Carbon (TOC) analyzer

More information

Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2

Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2 PUBLICATION A Effects of Plasma Activation on Hydrophilic Bonding of Si and SiO 2 Journal of The Electrochemical Society, Vol. 149, No. 6, (2002), pp. G348 G351. Reprinted by permission of ECS The Electrochemical

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Micro Power Generators. Sung Park Kelvin Yuk ECS 203

Micro Power Generators. Sung Park Kelvin Yuk ECS 203 Micro Power Generators Sung Park Kelvin Yuk ECS 203 Overview Why Micro Power Generators are becoming important Types of Micro Power Generators Power Generators Reviewed Ambient Vibrational energy Radiant

More information

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING

THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING THE USE OF OZONATED HF SOLUTIONS FOR POLYSILICON STRIPPING Gim S. Chen, Ismail Kashkoush, and Rich E. Novak AKrion LLC 633 Hedgewood Drive, #15 Allentown, PA 1816, USA ABSTRACT Ozone-based HF chemistry

More information

Curriculum Vitae. Aykutlu Dâna. Date and place of birth: 2-12-1973 ISPARTA / TURKEY. Tel: 90 (536) 300 6515. Fax: 90 (312) 266 4579

Curriculum Vitae. Aykutlu Dâna. Date and place of birth: 2-12-1973 ISPARTA / TURKEY. Tel: 90 (536) 300 6515. Fax: 90 (312) 266 4579 Curriculum Vitae Aykutlu Dâna BIOGRAPHICAL Date and place of birth: 2-12-1973 ISPARTA / TURKEY CONTACT INFORMATION Present Affiliation: National Nanotechnology Research Center, Material Science and Nanotechnology

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

MEMS mirror for low cost laser scanners. Ulrich Hofmann

MEMS mirror for low cost laser scanners. Ulrich Hofmann MEMS mirror for low cost laser scanners Ulrich Hofmann Outline Introduction Optical concept of the LIDAR laser scanner MEMS mirror requirements MEMS mirror concept, simulation and design fabrication process

More information

Sense 13 Sensing solutions Measurement technologies beyond customer sectors 30th 31st October, Espoo

Sense 13 Sensing solutions Measurement technologies beyond customer sectors 30th 31st October, Espoo Sense 13 Sensing solutions Measurement technologies beyond customer sectors 30th 31st October, Espoo 29/10/2013 2 Wednesday October 30 Program 9.00-10.00 Opening and plenary 10.15-11.45 Business development

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

MEMS devices application based testing

MEMS devices application based testing MEMS devices application based testing CEEES Seminar 18-10-2012 RDM Campus Rotterdam NL by Kees Revenberg MASER Engineering Enschede NL Outline Introduction MEMS classification Sensing & Actuating Manufacturing

More information

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 Self-Assembled Polystyrene Micro-Spheres Applied for Photonic Crystals and Templates Fabrication Daniel S. Raimundo 1, Francisco J.

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging

Why silicon MEMS? MEMS@KTH. Silicon is a strong material... Photolithography. Micromachining. Dicing and packaging Why silicon MEMS? MEMS@KTH Small Identical Large volumes (low cost per unit) School of Electrical Engineering Royal Institute of Technology Silicon is a strong material... Photolithography 10 µm thick

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER.

ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. SiO2 ACTIVATION ENERGY: AN ELEMENTARY STUDY IN THE MATRIX ISOTROPIC ETCHER. 1 A.J. BALLONI Fundação Centro Tecnológico para Informática Instituto de Microeletrônica Laboratório de Manufatura de Circuitos

More information

Photonic crystal based immunosensor for clinical diagnosis

Photonic crystal based immunosensor for clinical diagnosis 1,4 ID:31132 2 nd year of the PhD in Electronic Devices Official Tutors: Prof. M. De Vittorio 1,2,3, Ing. Tiziana Stomeo 1, Prof. Fabrizio Pirri 4, Prof. Carlo Ricciardi 4 Collaborators: A. Qualtieri 1,

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Program of the talk... What we have now What we know about silicon What

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

This paper describes Digital Equipment Corporation Semiconductor Division s

This paper describes Digital Equipment Corporation Semiconductor Division s WHITEPAPER By Edd Hanson and Heather Benson-Woodward of Digital Semiconductor Michael Bonner of Advanced Energy Industries, Inc. This paper describes Digital Equipment Corporation Semiconductor Division

More information

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma

Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Study of tungsten oxidation in O 2 /H 2 /N 2 downstream plasma Songlin Xu a and Li Diao Mattson Technology, Inc., Fremont, California 94538 Received 17 September 2007; accepted 21 February 2008; published

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions A Plasma Doping Process for 3D FinFET Source/ Drain Extensions JTG 2014 Cuiyang Wang*, Shan Tang, Harold Persing, Bingxi Wood, Helen Maynard, Siamak Salimian, and Adam Brand Cuiyang_wang@amat.com Varian

More information

Deposition Overview for Microsytems

Deposition Overview for Microsytems Deposition Overview for Microsytems Deposition PK Activity Terminology Participant Guide www.scme-nm.org Deposition Overview for Microsystems Primary Knowledge Participant Guide Description and Estimated

More information

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division Corning HPFS 7979, 7980, 8655 Fused Silica Optical Materials Product Information Specialty Materials Division HPFS 7979, 7980 and 8655 Fused Silica HPFS Fused Silica glasses are known throughout the industry

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Design and Simulation of MEMS Vibration Sensor for Launch Vehicles

Design and Simulation of MEMS Vibration Sensor for Launch Vehicles International Review of Applied Engineering Research. ISSN 2248-9967 Volume 4, Number 5 (2014), pp. 391-396 Research India Publications http://www.ripublication.com/iraer.htm Design and Simulation of MEMS

More information

Photonic components for signal routing in optical networks on chip

Photonic components for signal routing in optical networks on chip 15 th International Conference on Transparent Optical Networks Cartagena, Spain, June 23-27, 213 Photonic components for signal routing in optical networks on chip Vincenzo Petruzzelli, Giovanna Calò Dipartimento

More information

A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal

A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal Header for SPIE use A comparison between wet HF etching and vapor HF etching for sacrificial oxide removal A. Witvrouw a, B. Du Bois a,b, P. De Moor a, A. Verbist a, C. Van Hoof a, H. Bender a, Kris Baert

More information

Traceable measurement of mechanical properties of nano-objects. 24 th March 2015

Traceable measurement of mechanical properties of nano-objects. 24 th March 2015 Tutorial of the EMRP-Project MechProNO: Traceable measurement of mechanical properties of nano-objects 24 th March 2015 Technical University Dresden, Institute of Process Engineering and Environmental

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Spectroscopic Ellipsometry:

Spectroscopic Ellipsometry: Spectroscopic : What it is, what it will do, and what it won t do by Harland G. Tompkins Introduction Fundamentals Anatomy of an ellipsometric spectrum Analysis of an ellipsometric spectrum What you can

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS *

USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * USING OPTICAL EMISSION SPECTROSCOPY TO IMPROVE EQUIPMENT UPTIME FOR AN AL2O3 ALD PROCESS * JOHN LOO Samsung Austin Semiconductor 12100 Samsung Blvd. Austin, Texas 78754 * Presented at the AVS 5 th International

More information

PIEZOELECTRIC FILMS TECHNICAL INFORMATION

PIEZOELECTRIC FILMS TECHNICAL INFORMATION PIEZOELECTRIC FILMS TECHNICAL INFORMATION 1 Table of Contents 1. PIEZOELECTRIC AND PYROELECTRIC EFFECTS 3 2. PIEZOELECTRIC FILMS 3 3. CHARACTERISTICS PROPERTIES OF PIEZOELECTRIC FILMS 3 4. PROPERTIES OF

More information

DIODE LASER BASED PHOTOACOUSTIC SYSTEM FOR ATMOSPHERIC WATER VAPOR MEASUREMENTS

DIODE LASER BASED PHOTOACOUSTIC SYSTEM FOR ATMOSPHERIC WATER VAPOR MEASUREMENTS SUMMARY OF PHD THESIS DIODE LASER BASED PHOTOACOUSTIC SYSTEM FOR ATMOSPHERIC WATER VAPOR MEASUREMENTS MIKLÓS SZAKÁLL Consultants: Dr. Gábor Szabó professor, member of the Hungarian Academy of Science Dr.

More information

Silicon Drift Detector Product Brochure Update 2013

Silicon Drift Detector Product Brochure Update 2013 Silicon Drift Detector Product Brochure Update 2013 Content Classic Silicon Drift Detector High Resolution Silicon Drift Detector Multielement Silicon Detector Extra Large Detector Series July 2013 About

More information

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching

Study of Surface Reaction and Gas Phase Chemistries in High Density C 4 F 8 /O 2 /Ar and C 4 F 8 /O 2 /Ar/CH 2 F 2 Plasma for Contact Hole Etching TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 16, No. 2, pp. 90-94, April 25, 2015 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2015.16.2.90 OAK Central:

More information

Simulation of Thermal Transport Based Flow Meter for Microfluidics Applications

Simulation of Thermal Transport Based Flow Meter for Microfluidics Applications Simulation of Thermal Transport Based Flow Meter for Microfluidics Applications Arpys Arevalo *, Ernesto Byas and Ian G. Foulds King Abdullah University of Science and Technology (KAUST) Computer, Electrical

More information

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors

Electrophoretic Gold Nanoparticles Depostion On Carbon Nanotubes For NO 2 Sensors European Network on New Sensing Technologies for Air Pollution Control and Environmental Sustainability - EuNetAir COST Action TD1105 2 nd International Workshop EuNetAir on New Sensing Technologies for

More information

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS Prof. Dr. João Antonio Martino Professor Titular Departamento de Engenharia de Sistemas Eletrônicos Escola Politécnica da Universidade

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

A SILICON-BASED MICRO GAS TURBINE ENGINE FOR POWER GENERATION. Singapore Institute of Manufacturing Technology, Singapore 658075

A SILICON-BASED MICRO GAS TURBINE ENGINE FOR POWER GENERATION. Singapore Institute of Manufacturing Technology, Singapore 658075 Stresa, Italy, 26-28 April 2006 A SILICON-BASED MICRO GAS TURBINE ENGINE FOR POWER GENERATION X. C. Shan 1, Z. F. Wang 1, R. Maeda 2, Y. F. Sun 1 M. Wu 3 and J. S. Hua 3 1 Singapore Institute of Manufacturing

More information

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola 30 years of ALD Tuomo Suntola Key tool for finding the ALE in 1974 Key tool for finding the ALE in 1974 Tool for the demonstration of ALE in 1974 ALE growth of ZnS in Aug/Sept 1974 ALE growth of ZnS in

More information

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by PICOSUN NEWS June 2008 Story of Atomic Layer Deposition by Dr. Tuomo Suntola, the Inventor of the ALD Method and a Member of Picosun Board of Directors Picosun Newsletter introduces the latest news of

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Towards 17 µm pitch heterogeneously integrated Si/SiGe quantum well bolometer focal plane arrays

Towards 17 µm pitch heterogeneously integrated Si/SiGe quantum well bolometer focal plane arrays Towards 17 µm pitch heterogeneously integrated Si/SiGe quantum well bolometer focal plane arrays Per Ericsson a, Andreas C. Fischer b, Fredrik Forsberg b, Niclas Roxhed b, Björn Samel a, Susan Savage a,

More information

1. PECVD in ORGANOSILICON FED PLASMAS

1. PECVD in ORGANOSILICON FED PLASMAS F. FRACASSI Department of Chemistry, University of Bari (Italy) Plasma Solution srl SURFACE MODIFICATION OF POLYMERS AND METALS WITH LOW TEMPERATURE PLASMA OUTLINE METAL TREATMENTS 1 low pressure PECVD

More information

What We Do. Our Expertise. History. Mission. Vision

What We Do. Our Expertise. History. Mission. Vision Corporate Brochure What We Do Warsash Scientific is a leading supplier of scientific instruments and components serving Australian and New Zealand research, defence and industry since 1976. We specialise

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning Georges Peyre : Sales & Marketing Director SEMICON Europa Grenoble - 2014

More information

Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool.

Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool. Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool. Yasser Dessouky, Minnie H. Patel, and Tweesak Kaosamphan Industrial & Systems Engineering Charles W. Davidson

More information

Loading effects in deep silicon etching

Loading effects in deep silicon etching Reprinted with permission from the publisher. In: Proceedings of SPIE 2000. Vol. 4174, pp. 90 97. International Society of Optical Engineering (SPIE) Loading effects in deep silicon etching Jani Karttunen

More information

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information