2.5 & 3D ENABLING TECHNOLOGIES SELECTION BASED ON COO & TECHNOLOGY MATURITY TRADEOFF ANALYSIS ERIC BEYNE

Size: px
Start display at page:

Download "2.5 & 3D ENABLING TECHNOLOGIES SELECTION BASED ON COO & TECHNOLOGY MATURITY TRADEOFF ANALYSIS ERIC BEYNE"

Transcription

1 2.5 & 3D ENABLING TECHNOLOGIES SELECTION BASED ON COO & TECHNOLOGY MATURITY TRADEOFF ANALYSIS ERIC BEYNE European 3D Summit January 22-23, 2013 Grenoble

2 OUTLINE 3D Technology Convergence scaling: Technology Directions Scaling: Cost of Ownership analysis Scaling Roadmap ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 2

3 3D TECHNOLOGY READINESS FROM CONFUSION TO CONVERGENCE From: Large variety of applications To: Clear high volume application drivers Large number different technology directions Technology convergence Technology Wafer thinning & Thin wafer processing Chip/wafer stacking & stack packaging Unclear supply chain IC foundry WLP - SAT PCB assembly Improved definition roles front-end; mid-end; back-end Still evolving ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 3

4 3D TECHNOLOGY PROCESS MODULES Front-end FEOL process Via middle BEOL process Mid-end Wafer to carrier Bonding/ Debonding & Wafer thinning Backside reveal & backside passivation Semi-additive RDL, µbump & Cu pillar processes Back-end 3D Stacking (D2D; D2W) ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 4

5 Si wafer FEOL device layer BEOL interconnect wiring intermediate global local FRONT-SIDE APPROACHES Via-first : fabrication of s before FEOL device fabrication (FEOL, Front-End-Of-Line) (BEOL, Back-End-Of-Line) "Via-middle": fabrication s after FEOL device fabrication processing but before BEOL interconnect. "Via-mid BEOL": fabrication s after local BEOL interconnect, before top BEOL interconnect. "Via-last": fabrication of s after BEOL interconnect. ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 5

6 VIA MIDDLE THROUGH-SI-VIA PROCESS "Via-middle": fabrication s after FEOL device fabrication processing but before BEOL interconnect. Si Si Key features : Cu-nail process after FEOL, before of BEOL processing High aspect ratio Cu damascene technique Single litho-step imec POR process: o 5 µm diameter; o 50 µm deep; o Aspect ratio 10 ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 6

7 3D-SIC 5µm, 50µm deep on 300mm Via etch Requirements: No undercut below PMD/STI layer low side-wall roughness: reduce scalloping Precise depth-control: < 1µm WiW variation Accurate etch: Improves liner quality and reliability; Reduces required depth; Allows for CMP-less thin wafer backside process cost reduction ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 7

8 3D-SIC 5µm, 50µm deep on 300mm Via etch Oxide Liner Top PVD Ta Cu barrier Liner PMD STI Si PVD Cu seed anneal & CMP Middle Cu ECD fill Bottom Accurate Within wafer depth Control Conformal Smooth surface Low capacitance Effective barriers: prevent Cu diffusion Void-free bottomup -fill dishing 5µm Anneal to mitigate Cu pumping Limited CMP ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 8

9 CHALLENGES INTEGRATING VIA-MIDDLE IN ADVANCED TECHNOLOGY NODES Vertical scaling 14 nm CMOS Strong scaling of the STI/PMD layer thicknesses: PMD erosion during CMP? Integration with thin ELK dielectric layers on top of Cu? Si Cu ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/2013 9

10 THINNING WAFERS & VIA-REVEAL PROCESS Clear convergence From a large variety of temporary carrier approaches: Laser debond Solvent debond Thermal debond Slide debond Peel debond To a clear preference for a room-temperature; peel debondable carrier systems As a result more equipment and material suppliers are engaging in this technology Leading to cost reduction and performance improvement ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

11 Si Thickness (µm) WAFER THINNING (50µm) AND BACKSIDE PASSIVATION AND CU-NAIL EXPOSURE < 2 µm TTV Radial position on wafer (mm) Cu liner Backside Passivation ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

12 ROOM-TEMPERATURE PEEL DEBONDING Demonstrated full sequence of wafer bonding/ thinning/ backside processing, wafer taping, debonding and cleaning on tape of active CMOS wafers Anne Jourdain ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

13 OUTLINE 3D Technology Convergence scaling: Technology Directions Scaling: Cost of Ownership analysis Scaling Roadmap ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

14 SCALING DIRECTIONS Diameter For capacitance reduction For reduced impact of Cu stress on devices For PVD barrier seed deposition For low resistance (PWR/GND) (or multiple smaller ) Si thickness For capacitance reduction For PVD barrier/seed deposition For process time reduction: etch& plating For hot spot thermal performance For easy die handling Higher aspect ratio ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

15 Relative Stress level KOZ scaling REDUCTION KEEP-OUT-ZONE AROUND BY DIAMETER SCALING 2.0 The KOZ is defined by a maximum induced stress level The KOZ area scales with (Ø 1 /Ø 2 ) 2 : e.g. (5 µm/3µm) 2 = Area -64% ~ (Ø /r) 2 ~ (Ø 1 /Ø 2 ) Distance from center, r (µm) Circum. Stress 5 µm Ø Circum. Stress 3 µm Ø ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

16 ASPECT RATIO DEPENDENT Φ = 1µm 2µm 3µm 4µm 5µm 7µm 10µm 20µm ETCHING AR= POR LAM 2300 Syndion ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

17 APPLICATION: ARDE PROCESS WINDOW Interposer : Etch time approximately etch time x2 for 10x100 Scaled : 3 µm : more cost effective than 2 µm diameter CONFIDENTIAL ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

18 Heat flow direction Die 3 Die 2 Die 1 THERMAL PROPERTIES OF A 3D STACK HOT-SPOT HEAT SPREADING E.g. 3-Die stack: hot spot heating in center die Q cooling Die 3 Die 2 Die 1 Radial, cylindrical, heat spreading in the thin 3D stacked die; T-drop across interface layers (BEOL & interface layers); (K/W) ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

19 Normalized Temperature (K/W) Peak temperature Hot Spot (ºC/W) THERMAL IMPACT OF INTERFACE LAYER Comparison Thermal Measurements and FEM Simulations: 25µm thick stacked die using CuSn µbumps (Equiv. interface resistance R 1 =18 Kmm 2 /W) 25µm thick stacked die using Cu-Cu bonding (Equiv.interface resistance R 2 =0.8 Kmm 2 /W) Temperature Profile 50x50 µm 2 Hot Spot R1 = 18 Kmm 2 /W R2 = 0.8 Kmm 2 /W Peak temperature 50x50 µm 2 hot spot as a function of the Si substrate thickness R1 = 18 Kmm 2 /W R2 = 0.8 Kmm 2 /W Distance from hot spot center (µm) Thickness die (µm) ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

20 3D CHIP-PACKAGE INTERACTION, 3D-CPI DIE STACKING INDUCED STRESS 25 mm Ø bump, 15 µm spacing, 40 µm pitch difference in CTE bump connection and the underfill material shrinkage underfill material during curing Microbump Underfill Top thinned Si die Cool down After assembly Wavy top Si Bottom Si die ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

21 Relative variation Ion current NMOS FET Relative Variation Ion current EXPERIMENTAL VALIDATION 25 µm thick Si die, non-filled underfill Top Si die Bottom Si die Stress extraction position Underfill µbump NMOS array close to µbump Reference Si: w/o NUF NMOS reference array Model (no µbump) µbump Si: with location NUF FEM Model result Mitigation strategy Use of low-cte underfill (filled with e.g. silica part.) Thinner µbump/underfill layers: narrow gaps Use of thicker die > 50µm µbump pitch 200 µm 150 µm 100 µm 50 µm Center Center row row of NMOS of PMOS devices (62µm wide) µm wide) ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

22 OUTLINE 3D Technology Convergence scaling: Technology Directions Scaling: Cost of Ownership analysis Scaling Roadmap ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

23 8% 27% Relative Cost 35% COST STRUCTURE 5X50 PROCESS 180% 160% 140% CMP Cu ECD barrier/seed liner litho + etch 120% Cost structure: 100% 80% CMP 60% 40% 20% 0% 31% 14% 13% Cu ECD B/Seed Liner etch 3D POR 5 µm Ø; 50 µm deep Importance CMP process: removal of different layers, high cost CMP slurry ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

24 8% Relative Cost 13% 35% 49% INTERPOSER : UPSCALING TO 10X100 µm 180% CMP 160% Cu ECD barrier/seed 140% 120% 100% 80% 60% 40% 20% 0% 19% 24% 39% 18% CMP Cu ECD B/Seed Liner etch Interposer 10 µm Ø; 100 µm deep 31% 14% CMP Cu ECD B/Seed Liner etch 3D POR 5 µm Ø; 50 µm deep Cost structure: liner litho + etch Increased etch depth : 2x longer Low Capacitance: thicker liner Slower Cu plating: 3x time Thicker Layers for CMP Appr. 50% higher cost Similar cost breakdown, Higher impact fill ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

25 36% 8% 4% 17% Relative Cost 13% 42% 35% 11% 22% 49% DOWNSCALING TO 3X50 µm 180% 160% 140% 120% CMP CMP Cu ECD barrier/seed liner litho + etch Cost structure: Slightly increased etch time Equal Capacitance: thiner liner New barrier/seed Process Thiner layers: less CMP Similar or lower cost possible 100% 80% 60% 40% 20% 0% 19% 24% 39% 18% Cu ECD B/Seed Liner etch Interposer 10 µm Ø; 100 µm deep 31% 14% CMP Cu ECD B/Seed Liner etch 3D POR 5 µm Ø; 50 µm deep CMP Cu ECD B/Seed Liner etch CVD TiN PVD Cu ECD Cu 3D POR 3 µm Ø; 50 µm deep CMP Cu ECD CVD TiN CVD RuTiN Liner etch ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

26 OUTLINE 3D Technology Convergence scaling: Technology Directions Scaling: Cost of Ownership analysis Scaling Roadmap ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

27 3D- SCALING ROADMAP Reverse scaling for interposer applications 10µm Ø, 100µm deep, AR 10:1 Ø AR Depth POR Today 5µm Ø, 50µm deep AR 10:1 Scaling for 3D-IC applications Ø AR Depth 3µm Ø, 50µm deep AR 17:1 Ø AR Extended scaling W2W applications Depth 2µm Ø, 30µm deep AR 15: Return to POR 5x50µm (driven by cost reduction, ability to assemble on thin interposers & active interposer applications) Minimal fill Ø? Max. depth? In combination with integration on advanced device nodes ERIC BEYNE - SEMI EUROPE - EUROPEAN 3D SUMMIT - 22/01/

28 ACKNOWLEDGMENT Imec 3D Team and 3D System Integration Program Partners LOGIC IDM MEMORY IDM FOUNDRIES FABLESS 3D PROGRAM OSAT EDA MATERIAL SUPPLIERS EQUIPMENT SUPPLIERS Lam RESEARCH 3D SYSTEM INTEGRATION PROGRAM 29

29

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Semi Networking Day Packaging Key for System Integration

Semi Networking Day Packaging Key for System Integration Semi Networking Day Packaging Key for System Integration Le Quartz, 75 Cours Emile Zola 69100 Villeurbanne, France Tel : +33 472 83 01 80 - Fax : +33 472 83 01 83 Web: http://www.yole.fr Semi Networking

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Package Trends for Mobile Device

Package Trends for Mobile Device Package Trends for Mobile Device On-package EMI Shield At CTEA Symposium Feb-10, 2015 Tatsuya Kawamura Marketing, Director TEL NEXX, Inc. Love Thinner Mobile? http://www.apple.com/ iphone is registered

More information

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development - supported by the European Commission under support-no. IST-026461 e-cubes Maaike M. V. Taklo :

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Commercializing TSV 3DIC Wafer Process Technology Solutions for Next Generation of Mobile Electronic Systems

Commercializing TSV 3DIC Wafer Process Technology Solutions for Next Generation of Mobile Electronic Systems 2013 SEMICON China 3D-IC Forum Commercializing TSV 3DIC Wafer Process Technology Solutions for Next Generation of Mobile Electronic Systems Dr. Shiuh-Wuu Lee, Sr. VP of Technology Research & Development

More information

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Outline Introduction CAD design tools for embedded components Thermo mechanical design rules

More information

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Cristiano Santos 1,2, Pascal Vivet 1, Philippe Garrault 3, Nicolas Peltier 3, Sylvian

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Global Semiconductor Packaging Materials Outlook

Global Semiconductor Packaging Materials Outlook NOVEMBER 2009 Global Semiconductor Packaging Materials Outlook Produced by Semiconductor Equipment and Materials International and TechSearch International, Inc. EXECUTIVE SUMMARY 1 1 INTRODUCTION 5 1.1

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Fraunhofer IZM-ASSID Targets

Fraunhofer IZM-ASSID Targets FRAUNHOFER INSTITUTE FoR Reliability and MiCroinTegration IZM Fraunhofer IZM ASSID All Silicon System Integration Dresden All Silicon System Integration Dresden Fraunhofer IZM-ASSID Fraunhofer IZM The

More information

Simon McElrea : BiTS 3.10.14

Simon McElrea : BiTS 3.10.14 Interconnectology The Road to 3D Mobile Consumer Driven Market This Changes Everything 1 Simon McElrea : BiTS 3.10.14 What Is Advanced/3D Packaging? 2 This Is... But So Is This. The level of Hardware Engineering

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings.

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Amkor

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products Overview of 2 What is? Methods / Materials / Current Products Rapid Prototyping evolves to Additive Manufacturing in Electronics Manufacturing Recent developments in 3D printing at TNO Conclusions / jan_eite.bullema@tno.nl

More information

Electroplating aspects in 3D IC Technology

Electroplating aspects in 3D IC Technology Electroplating aspects in 3D IC Technology Dr. A. Uhlig Atotech Deutschland GmbH Semiconductor R&D Atotech @ Sematech Workshop San Diego/Ca 2008-09-26 3D Advanced Packaging Miniaturization in size and

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

Mounting Instructions for SP4 Power Modules

Mounting Instructions for SP4 Power Modules Mounting Instructions for SP4 Power Modules Pierre-Laurent Doumergue R&D Engineer Microsemi Power Module Products 26 rue de Campilleau 33 520 Bruges, France Introduction: This application note gives the

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

3D Interconnects 3D Enablement Center

3D Interconnects 3D Enablement Center 3D Interconnects 3D Enablement Center Accelerating the next technology revolution Annual SEMATECH Symposium Seoul October 27, 2011 Sitaram Arkalgud Director Interconnect/3D IC Copyright 2009 SEMATECH,

More information

Flip Chip Package Qualification of RF-IC Packages

Flip Chip Package Qualification of RF-IC Packages Flip Chip Package Qualification of RF-IC Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract Quad Flat Pack No Leads (QFNs) are thermally enhanced plastic packages

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Thermal Management for Low Cost Consumer Products

Thermal Management for Low Cost Consumer Products Thermal Management for Low Cost Consumer Products TI Fellow Manager: Advanced Package Modeling and Characterization Texas Instruments rvin@ti.com Outline The challenges Stacked die, Package-on-Package,

More information

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view. Rev. 1.

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view.  Rev. 1. Application Note PAC-006 By J. Lu, Y. Ding, S. Liu, J. Gong, C. Yue July 2012 Molded Chip Scale Package Assembly Guidelines Introduction to Molded Chip Scale Package A chip scale package (CSP) has direct

More information

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group HDI HDI = High Density Interconnect Kenneth Jonsson Bo Andersson NCAB Group Definitions / Standards (IPC) Pros & Cons Key equipment Build-ups Choice of material Design rules IPC HDI reliability (µvia stacked

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Agilent T-1 3 / 4 (5 mm), T-1 (3 mm), 5 Volt, 12 Volt, Integrated Resistor LED Lamps Data Sheet

Agilent T-1 3 / 4 (5 mm), T-1 (3 mm), 5 Volt, 12 Volt, Integrated Resistor LED Lamps Data Sheet Agilent T-1 3 / (5 mm), T-1 (3 mm), 5 Volt, 12 Volt, Integrated Resistor LED Lamps Data Sheet HLMP-16, HLMP-161, HLMP-162, HLMP-1621 HLMP-16, HLMP-161, HLMP-36, HLMP-361 HLMP-365, HLMP-3651, HLMP-36, HLMP-361

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications)

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications) VISHAY SFERNICE Resistive Products Application Note ABSTRACT On our thin film chips resistors and arrays the main path for the heat, more than 90 %, is conduction through the body of the component, the

More information

Meeting the Thermal Management Needs of Evolving Electronics Applications

Meeting the Thermal Management Needs of Evolving Electronics Applications Meeting the Thermal Management Needs of Evolving Electronics Applications Dr. Glenn Mitchell / August 2015 Agenda Introduction Thermal Industry Trends TIM Challenges, Needs & Criteria TIM Industry Solutions

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

HLMP-1600, HLMP-1601, HLMP-1620, HLMP-1621 HLMP-1640, HLMP-1641, HLMP-3600, HLMP-3601 HLMP-3650, HLMP-3651, HLMP-3680, HLMP-3681

HLMP-1600, HLMP-1601, HLMP-1620, HLMP-1621 HLMP-1640, HLMP-1641, HLMP-3600, HLMP-3601 HLMP-3650, HLMP-3651, HLMP-3680, HLMP-3681 HLMP-16, HLMP-161, HLMP-162, HLMP-1621 HLMP-16, HLMP-161, HLMP-36, HLMP-361 HLMP-365, HLMP-3651, HLMP-368, HLMP-3681 T 1 3 / (5 mm), T-1 (3 mm), 5 Volt, 12 Volt, Integrated Resistor LED Lamps Data Sheet

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices. Max Lu, Deputy Director, SPIL

Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices. Max Lu, Deputy Director, SPIL Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices Max Lu, Deputy Director, SPIL 2 Outline Market Trend & Industry Benchmark KEY Innovative Package Solutions Molded WLCSP Fan-Out

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone tremendous

More information

PCN Structure FY 13/14

PCN Structure FY 13/14 PCN Structure FY 13/14 A PCN FY 13/14 PCN text FY 13/14 QMS FY 12/14 Front End Materials A0101 Process Wafers CZ 150 mm CQT A0102 Process Wafers CZ 200 mm CQT A0103 Process Wafers FZ 150 mm CQT A0104 Process

More information

3D ICs with TSVs Design Challenges and Requirements

3D ICs with TSVs Design Challenges and Requirements 3D ICs with TSVs Design Challenges and Requirements 3D integrated circuits (ICs) with through-silicon vias (TSVs) offer new levels of efficiency, power, performance, and form-factor advantages to the semiconductor

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology www.we-online.de Seite 1 04.09.2013 Agenda Overview Webinar HDI 1 Route out a BGA Costs Roadmap

More information

Microsystem technology and printed circuit board technology. competition and chance for Europe

Microsystem technology and printed circuit board technology. competition and chance for Europe Microsystem technology and printed circuit board technology competition and chance for Europe Prof. Udo Bechtloff, KSG Leiterplatten GmbH 1 Content KSG a continuously growing company PCB based Microsystems

More information

Advanced Technologies for System Integration Leveraging the European Ecosystem

Advanced Technologies for System Integration Leveraging the European Ecosystem Advanced Technologies for System Integration Leveraging the European Ecosystem Presented by Jean-Marc Yannou ASE Europe June 27, 2013 Packaging - Key for System Integration Semi networking day, Porto 1

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Transition to 4 and 5 BB designs for Ni/Cu/Ag plated cells

Transition to 4 and 5 BB designs for Ni/Cu/Ag plated cells 6th Workshop on Metallization & nterconnection for Crystalline Silicon Solar Cells Transition to 4 and 5 BB designs for Ni/Cu/Ag plated cells Rena Technologies: Trina Solar: MacDermid Enthone: N.Bay, J.Burschik,

More information

Q&A. Contract Manufacturing Q&A. Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials

Q&A. Contract Manufacturing Q&A. Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials Q&A Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials 1. Do Nelco laminates have any discoloration effects or staining issues after multiple high temperature exposures?

More information

Comparison of Advanced PoP Package Configurations

Comparison of Advanced PoP Package Configurations Comparison of Advanced PoP Package Configurations By Hamid Eslampour, SeongMin Lee, SeongWon Park, TaeKeun Lee, InSang Yoon, YoungChul Kim STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010.

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Solid shape molding is not desired in injection molding due to following reasons.

Solid shape molding is not desired in injection molding due to following reasons. PLASTICS PART DESIGN and MOULDABILITY Injection molding is popular manufacturing method because of its high-speed production capability. Performance of plastics part is limited by its properties which

More information

Ball Grid Array (BGA) Technology

Ball Grid Array (BGA) Technology Chapter E: BGA Ball Grid Array (BGA) Technology The information presented in this chapter has been collected from a number of sources describing BGA activities, both nationally at IVF and reported elsewhere

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team Faszination Licht Entwicklungstrends im LED Packaging Dr. Rafael Jordan Business Development Team Agenda Introduction Hermetic Packaging Large Panel Packaging Failure Analysis Agenda Introduction Hermetic

More information

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Introduction There is an industry-wide trend towards using the smallest package possible for a given pin count. This is driven primarily

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

POWER FORUM, BOLOGNA 20-09-2012

POWER FORUM, BOLOGNA 20-09-2012 POWER FORUM, BOLOGNA 20-09-2012 Convertitori DC/DC ad alta densità di potenza e bassa impedenza termica. Massimo GAVIOLI. Senior Field Application Engineer. Intersil SIMPLY SMARTER Challenges when Designing

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Keeping Current to Stay Competitive in Flex PCB Laser Processing

Keeping Current to Stay Competitive in Flex PCB Laser Processing White Paper Keeping Current to Stay Competitive in Flex PCB Laser Processing Market Drivers, Trends and Methodologies ESI by Patrick Riechel, PCB Product Manager The push for smaller, cheaper and more

More information

Failure Analysis (FA) Introduction

Failure Analysis (FA) Introduction Failure Analysis (FA) Introduction (III - Reliability ) Tung-Bao Lu 1 of 23 Reliability Stress Stress Reliability Geberal Condition Temperature Humidity Electrical Others Precondition Baking/L3/Reflowing

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies

Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies Sandy Kumar, Ph.D. Director of Technology American Standard Circuits, Inc 3615 Wolf Road

More information

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO

LUXEON LEDs. Circuit Design and Layout Practices to Minimize Electrical Stress. Introduction. Scope LED PORTFOLIO LED PORTFOLIO LUXEON LEDs Circuit Design and Layout Practices to Minimize Electrical Stress Introduction LED circuits operating in the real world can be subjected to various abnormal electrical overstress

More information

Customer Service Note Lead Frame Package User Guidelines

Customer Service Note Lead Frame Package User Guidelines Customer Service Note Lead Frame Package User Guidelines CSN30: Lead Frame Package User Guidelines Introduction Introduction When size constraints allow, the larger-pitched lead-frame-based package design

More information

Trace Layer Import for Printed Circuit Boards Under Icepak

Trace Layer Import for Printed Circuit Boards Under Icepak Tutorial 13. Trace Layer Import for Printed Circuit Boards Under Icepak Introduction: A printed circuit board (PCB) is generally a multi-layered board made of dielectric material and several layers of

More information

Simulation of Residual Stresses in an Induction Hardened Roll

Simulation of Residual Stresses in an Induction Hardened Roll 2.6.4 Simulation of Residual Stresses in an Induction Hardened Roll Ludwig Hellenthal, Clemens Groth Walzen Irle GmbH, Netphen-Deuz, Germany CADFEM GmbH, Burgdorf/Hannover, Germany Summary A heat treatment

More information

Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA. CTB Hybrids WG ESTEC-22nd May 2007

Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA. CTB Hybrids WG ESTEC-22nd May 2007 Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA CTB Hybrids WG ESTEC-22nd May 2007 Evaluation of Soft Soldering on AlN Schedule Project presentation Feasibility

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries

Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries Waterproofing System for Wastewater Tanks in Petrochemical Industries and Refineries Introduction Wastewater of petrochemical industries and refineries contains high amounts of emulsified aliphatic or

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Heat Pump Water Heater Using Solid-State Energy Converters

Heat Pump Water Heater Using Solid-State Energy Converters Heat Pump Water Heater Using Solid-State Energy Converters 2015 Building Technologies Office Peer Review Uttam Ghoshal, ghoshal@sheetak.com Sheetak Inc. Project Summary Timeline: Start date: 11/15/2012

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL.

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Laurent Lengignon, Laëtitia Omnès, Frédéric Voiron IPDiA, 2 rue de la girafe, 14000 Caen, France

More information

Figure 1 Wafer with Notch

Figure 1 Wafer with Notch Glass Wafer 2 SCHOTT is an international technology group with more than 125 years of experience in the areas of specialty glasses, materials and advanced technologies. With our high-quality products and

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

THERMAL TRANSFER PRINTING PROBLEM SOLVER

THERMAL TRANSFER PRINTING PROBLEM SOLVER THERMAL TRANSFER PRINTING PROBLEM SOLVER Top quality thermal transfer printing is always a result of compatibility between material and hardware. Print quality problems can be caused by a multitude of

More information