Xilinx Spartan -3 XC3S200 FT256AFQ Structural Analysis

Size: px
Start display at page:

Download "Xilinx Spartan -3 XC3S200 FT256AFQ Structural Analysis"

Transcription

1 June 18, 2004 Xilinx Spartan -3 XC3S200 FT256AFQ Structural Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: Fax:

2 Structural Analysis Table of Contents 1 Overview 1.1 List of Figures 1.2 List of Tables 1.3 Introduction 1.4 Major Findings 2 Device Overview 2.1 Package and Die 2.2 Die Features 3 Process Analysis 3.1 General Device Structure 3.2 Bond Pads 3.3 Dielectrics 3.4 Metallization 3.5 Vias and Contacts 3.6 Transistors and Poly 3.7 Isolation 3.8 Wells and Epi 4 SRAM Cell Analysis 4.1 SRAM Plan View Analysis 5 Materials Analysis 5.1 SIMS Analysis of the Dielectrics 5.2 TEM-EDS Analysis of Metal EDS Analysis of ILD 1 and the Pre-Metal Dielectics 5.4 Transistor, Contacts, polycide and STI 5.5 SRP Analysis of the Wells and Substrate

3 Structural Analysis 6 Critical Dimensions 6.1 Horizontal Dimensions 6.2 Vertical Dimensions About Chipworks

4 Overview 1 Overview 1.1 List of Figures 2 Device Overview Package Top Package Bottom Plan View Package X-Ray Side View Package X-Ray Die Photograph Die at Metal Die Marking Die Marking Die Corner Die Corner Die Corner Die Corner Pad Ring and Bond Pads Pad Ring and Power Buses Bond Pads 3 Process Analysis General View of the XC3S200 FT256AFQ Die Edge Die Seal Ball Bond to Bond Pad Bond Pad Edge Passivation ILD 7 and ILD TEM Image of ILD ILD TEM Image of ILD 5 Line Dielectric ILD 4 Through ILD 2 Levels TEM Image of ILD Pre-Metal Dielectric Minimum Pitch Metal TEM Section of Metal Minimum Pitch Metal TEM Cross-Section of Metal 7 1-1

5 Overview Metal 7 Ta/TaN Bilayer Minimum Pitch Metal TEM of Minimum Pitch Metal Minimum Pitch Metal TEM of Minimum Pitch Metal Minimum Pitch Metal TEM of Minimum Pitch Metal TEM of Metal 1 Ta/TaN Liner Minimum Pitch Via 6 s and Via 5 s TEM Section of Via Minimum Pitch Via 5 s Through Via 2 s TEM Section of a Via Minimum Pitch Via 1 s Minimum Pitch Contacts to Diffusion Minimum Pitch Contacts to Polycide TEM of Metal 1 to Contact Interface TEM of Contact to Diffusion TEM of Contact to Polycide Minimum Gate Length NMOS Transistor Minimum Gate Lenth PMOS Transistor TEM of Logic Transistor TEM of Thick Gate Oxide TEM of Thin Gate Oxide Minimum Pitch Polycide Minimum Width STI Polycide Over STI Polycide Over Field Oxide TEM of Thick Gate Dielectric SCM Profile of N-Well and P-Well 4 SRAM Cell Analysis T SRAM Cell Dual Port SRAM at Metal SRAM at Metal SRAM at Polycide SRAM Cell at Polycide SRAM Delayered to Diffusion 1-2

6 Overview 5 Materials Analysis SEM Cross-Section Showing Dielectric Levels SIMS Profile Through the Dielectrics TEM Cross-Section of Metal TiN Cap Layer TEM-EDS Spectrum of the TiN Barrier Layer TEM-EDS Spectrum of the Ti Adhesion Layer TEM-EDS Spectrum of the Ta Barrier Layer EDS Spectrum of ILD EDS Spectrum of ILD EDS Spectrum of PMD EDS Spectrum of PMD EDS Spectrum of PMD EDS Spectrum of PMD TEM-EDS Spectrum of the Gate Polycide TEM-EDS Spectrum of Source/Drain Silicide N-Well SRP Plot P-Well SRP Plot 6 Critical Dimensions 7 Report Evaluation 1.2 List of Tables Dielectric Thicknesses Metallization Vertical Dimensions Metallization Horizontal Dimensions Via and Contact Dimensions Transistor and Polycide Dimensions SRAM Transistor Sizes 1-3

7 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems. The company s ability to analyze the circuitry and physical composition of these systems makes them a key partner in the success of the world s largest semiconductor and microelectronics companies. Intellectual property groups and their legal counsel trust Chipworks for success in patent licensing and litigation earning hundreds of millions of dollars in patent licenses, and saving as much in royalty payments. Research & Development and Product Management rely on Chipworks for success in new product design and launch, saving hundreds of millions of dollars in design, and earning even more through superior product design and faster launches. Contact Chipworks To find out more information on this report, or any other reports in our library, please contact Chipworks at: Chipworks 3685 Richmond Rd. Suite 500 Ottawa, Ontario K2H 5B7 Canada T: F: Web site: info@chipworks.com Please send any feedback to feedback@chipworks.com

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis March 17, 2006 Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC Qualcomm QCA6174 Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis 2 Some of the information in this report

More information

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Some of the information in this report

More information

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 www.chipworks.com Some of the information in this report may

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks Atmel MXT224 Touch Screen Controller Circuit Analysis of Charge Integrator, ADC, and I/O Blocks For questions, comments, or more information about this report, or for any additional technical needs concerning

More information

NXP PN548 (65V10) Near Field Communication Module

NXP PN548 (65V10) Near Field Communication Module NXP PN548 (65V10) Module Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Basic Functional Analysis 2 Some of the information in this

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Sample Project List. Software Reverse Engineering

Sample Project List. Software Reverse Engineering Sample Project List Software Reverse Engineering Automotive Computing Electronic power steering Embedded flash memory Inkjet printer software Laptop computers Laptop computers PC application software Software

More information

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card)

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) Circuit Analysis of GDDR5 I/O Drivers, Receivers, DLL, and PLL Table of Contents 3685 Richmond Road, Suite 500, Ottawa, ON

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Self-Stick Roofing Solutions

Self-Stick Roofing Solutions Peel & Seal Self-Stick Roofing Solutions Ideal for Low Slope Applications Waterproof and Weatherproof Energy Efficient Tough and Durable Versatile, Effective Sealing Around Protrusions Perfect for Vents,

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor:

For the modifications listed below, the Qualification Approval tests in IEC 61215 and IEC 61646, shall be used as a guideline by the assessor: Product or Process Modifications Requiring Limited CBTL Retesting to Maintain Certification This document sets forth a uniform approach to maintain the certification of products that have, or will, undergo

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

sound reduction systems for commercial, educational and industrial environments

sound reduction systems for commercial, educational and industrial environments sound reduction systems for commercial, educational and industrial environments Tel: +44 ()14 3874 Fax: +44 ()14 38957 E-mail: info@soundreduction.co.uk Web: www.soundreduction.co.uk soundblocker Acoustic

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- ", Raj Kamal, 1

EDC Lesson 12: Transistor and FET Characteristics. 2008 EDCLesson12- , Raj Kamal, 1 EDC Lesson 12: Transistor and FET Characteristics Lesson-12: MOSFET (enhancement and depletion mode) Characteristics and Symbols 2008 EDCLesson12- ", Raj Kamal, 1 1. Metal Oxide Semiconductor Field Effect

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

Peel & Seal Self-Stick Roofing Solutions

Peel & Seal Self-Stick Roofing Solutions Peel & Seal Self-Stick Roofing Solutions Ideal for Low Slope Applications Waterproof and Weatherproof Energy Efficient Tough and Durable Versatile, Effective Sealing Around Protrusions Perfect for Vents,

More information

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices

Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices 2006, 대한 산업공학회 추계학술대회 Session C3 : Statistical models Statistical Models for Hot Electron Degradation in Nano-Scaled MOSFET Devices Seong-joon Kim, Suk Joo Bae Dept. of Industrial Engineering, Hanyang

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

Bending, Forming and Flexing Printed Circuits

Bending, Forming and Flexing Printed Circuits Bending, Forming and Flexing Printed Circuits John Coonrod Rogers Corporation Introduction: In the printed circuit board industry there are generally two main types of circuit boards; there are rigid printed

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays J.H. Daniel 1, a, B.S. Krusor 1, N. Chopra 2, R.A. Street 1, P.M. Kazmaier 2, S.E. Ready 1, J.H. Ho 1 1 Palo Alto Research

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

GaN IC Die Handling, Assembly and Testing Techniques

GaN IC Die Handling, Assembly and Testing Techniques GaN IC Die Handling, Assembly and Testing Techniques Page 1 of 9 1. Scope This document describes the storage and handling requirements for GaN IC chips. It also describes recommended assembly and testing

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support.

Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Titre: Required Information For Submitting Databases to TELEDYNE DALSA Design & Product Support. Document : DES-0002.11 Création du document : December 22th, 2004 Bromont, Québec, Canada 2 DE 7 Database

More information

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES By Al Wright, PCB Field Applications Engineer Epec Engineered Technologies Anyone involved within the printed circuit board (PCB) industry

More information

MOSIS Scalable CMOS (SCMOS)

MOSIS Scalable CMOS (SCMOS) Vendor-independent, scalable rules (MOSIS SCMOS s) Design s MOSIS Scalable CMOS (SCMOS) (Revision 8.00) Updated: May 11, 2009 1. Introduction This document defines the official MOSIS scalable CMOS (SCMOS)

More information

IC Card & SIM card Connector. Selection Guide

IC Card & SIM card Connector. Selection Guide IC Card & SIM card Connector Selection Guide For more information Solution Way Co.,Ltd www.solutionway.com ydlee@solutionway.com Tel : 031-605-3800 Fax: 031-605-3801 H&V COMBO CARD CONNECTOR ---- 200 Series

More information

Assembly of LPCC Packages AN-0001

Assembly of LPCC Packages AN-0001 Assembly of LPCC Packages AN-0001 Surface Mount Assembly and Handling of ANADIGICS LPCC Packages 1.0 Overview ANADIGICS power amplifiers are typically packaged in a Leadless Plastic Chip Carrier (LPCC)

More information

The State-of-the-Art in IC Reverse Engineering

The State-of-the-Art in IC Reverse Engineering The State-of-the-Art in IC Reverse Engineering Randy Torrance and Dick James Chipworks Inc. 3685 Richmond Road, Ottawa, Ontario, Canada K2H 5B7 rtorrance@chipworks.com, djames@chipworks.com Abstract. This

More information

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Abstract: The automotive technology is fast moving in integrating

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

AN3359 Application note

AN3359 Application note Application note Low cost PCB antenna for 2.4GHz radio: Meander design 1 Introduction This application note is dedicated to the STM32W108 product family from STMicroelectronics. One of the main reasons

More information

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits As presented at PCIM 2001 Authors: *Mark Pavier, *Hazel Schofield, *Tim Sammon, **Aram Arzumanyan, **Ritu Sodhi, **Dan Kinzer

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

Field-Effect (FET) transistors

Field-Effect (FET) transistors Field-Effect (FET) transistors References: Hayes & Horowitz (pp 142-162 and 244-266), Rizzoni (chapters 8 & 9) In a field-effect transistor (FET), the width of a conducting channel in a semiconductor and,

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

2.9 WINDOW & DOOR BUCKS

2.9 WINDOW & DOOR BUCKS 2.9 WINDOW & DOOR BUCKS Bucks provide attachment surfaces for windows and doors while holding back concrete from these openings during concrete placement. Mark the center and edges of openings as you place

More information

Barrier Coatings: Conversion and Production Status

Barrier Coatings: Conversion and Production Status Transparent SiO 2 Barrier Coatings: Conversion and Production Status E. Finson and J. Felts, Airco Coating Technology, Concord, CA Keywords: Permeation barrier coatings; Reactive evaporation; SiO 2 ABSTRACT

More information

IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation

IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation IC Temperature Sensor Provides Thermocouple Cold-Junction Compensation INTRODUCTION Due to their low cost and ease of use thermocouples are still a popular means for making temperature measurements up

More information

Results Overview Wafer Edge Film Removal using Laser

Results Overview Wafer Edge Film Removal using Laser Results Overview Wafer Edge Film Removal using Laser LEC- 300: Laser Edge Cleaning Process Apex Beam Top Beam Exhaust Flow Top Beam Scanning Top & Top Bevel Apex Beam Scanning Top Bevel, Apex, & Bo+om

More information

The Don ts of Better Flexible Circuit Design and Manufacture By Mark Finstad Friday, 01 June 2007

The Don ts of Better Flexible Circuit Design and Manufacture By Mark Finstad Friday, 01 June 2007 The Don ts of Better Flexible Circuit Design and Manufacture By Mark Finstad Friday, 01 June 2007 Successful designs are soon forgotten but failures are remembered for years. Steering clear of these twelve

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Phase-Locked Loop Based Clock Generators

Phase-Locked Loop Based Clock Generators Phase-Locked Loop Based Clock Generators INTRODUCTION As system clock frequencies reach 100 MHz and beyond maintaining control over clock becomes very important In addition to generating the various clocks

More information

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team Faszination Licht Entwicklungstrends im LED Packaging Dr. Rafael Jordan Business Development Team Agenda Introduction Hermetic Packaging Large Panel Packaging Failure Analysis Agenda Introduction Hermetic

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

T H A N K S F O R A T T E N D I N G OUR. FLEX-RIGID PCBs. Presented by: Nechan Naicker

T H A N K S F O R A T T E N D I N G OUR. FLEX-RIGID PCBs. Presented by: Nechan Naicker T H A N K S F O R A T T E N D I N G OUR TECHNICAL WEBINAR SERIES FLEX-RIGID PCBs Presented by: Nechan Naicker We don t just sell PCBs. We sell sleep. Cirtech EDA is the exclusive SA representative of the

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

1. Submission Rules. 2. Verification tools. 3. Frequent errors

1. Submission Rules. 2. Verification tools. 3. Frequent errors Design Submission 1. Submission Rules 2. Verification tools 3. Frequent errors Design submission rules 1. Send the submission form in the same time as the circuit database 2. The GDSII file must have a.gds

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

HSeries. High Power High Quality. Ultra-High Speed, Sensing Ionizer SJ-H Series

HSeries. High Power High Quality. Ultra-High Speed, Sensing Ionizer SJ-H Series NEW Ultra-High Speed, Sensing Ionizer SJ-H Series High Power High Quality Suitable for high-speed static elimination in wide areas, including clean room environments HSeries The highest static elimination

More information

Schlüter -KERDI-BOARD Substrate, structural panel, bonded waterproofing

Schlüter -KERDI-BOARD Substrate, structural panel, bonded waterproofing Substrate, structural panel, bonded waterproofing The universal substrate for tiles Perfect covering No matter whether you work with mosaics or large format tiles, an absolutely level substrate with straight

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

MILMAN & ASSOCIATES STRUCTURAL CONSULTING ENGINEERS/ PROJECT MANAGERS

MILMAN & ASSOCIATES STRUCTURAL CONSULTING ENGINEERS/ PROJECT MANAGERS MILMAN & ASSOCIATES STRUCTURAL CONSULTING ENGINEERS/ PROJECT MANAGERS May 29, 2013 Revision B Structural Guideline for Design and Installation Holes in Composite Floor Slab Terminal 3, Departure Level

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Selective Soldering Defects and How to Prevent Them

Selective Soldering Defects and How to Prevent Them Selective Soldering Defects and How to Prevent Them Gerjan Diepstraten Vitronics Soltec BV Introduction Two major issues affecting the soldering process today are the conversion to lead-free soldering

More information