Chapter 2 Introduction of IC Fabrication

Size: px
Start display at page:

Download "Chapter 2 Introduction of IC Fabrication"

Transcription

1 Chapter 2 Introduction of IC Fabrication Hong Xiao, Ph. D. hxiao89@hotmail.com Objectives Define yield and explain its importance Describe the basic structure of a cleanroom. Explain the importance of cleanroom protocols List four basic operations of IC processing Name at least six process bays in an IC fab Explain the purposes of chip packaging Describe the standard wire bonding and flip-chip bump bonding processes

2 Yield To produce Especially for function test after finished all IC process Yield relative to : Engineers skill Environment Used materials Purchased equipment Process Wafer Process Flow Materials IC Fab Metallization CMP Dielectric deposition Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design

3 Fab Cost Fab cost is very high, > $1B for 8 fab Clean room Equipment, usually > $1M per tool Materials, high purity, ultra high purity Facilities People, training and pay Wafer Yield Y = W Wafers Wafers good total Depends on : processing ; handling ; robot function ; alignment and so on

4 Die Yield Y = D Dies Dies good total Depends on : contamination, maintenance Packaging Yield Y = C Chips Chips good total Depends on : bonding quality

5 Overall Yield Y T = Y W Y D Y C Overall Yield determines whether a fab is making profit or losing money How Does Fab Make (Loss) Money Cost: Wafer (8 ): ~$150/wafer* Processing: ~$1200 ($2/wafer/step, 600 steps) Packing: ~$5/chip Sale: ~200 chips/wafer ~$50/chip (low-end microprocessor in 2000) *Cost of wafer, chips per wafer, and price of chip varies, numbers here are choosing randomly based on general information.

6 How Does a Fab Make (Loss) Money Cost: Sale: 100% yield: = $2350/wafer 50% yield: = $1850/wafer 0% yield: = $1350/wafer 100% yield: = $10,000/wafer 50% yield: = $5,000/wafer 0% yield: 0 50 = $0.00/wafer Profit Margin: 100% yield: = $7650/wafer 50% yield : = $3150/wafer 0% yield : = $1350/wafer Question If yield for every process step is 99%, what is the overall processing yield after 600 process steps?

7 Answer It equals to 99% times 99% 600 times = = 0.24% Almost no yield Throughput Number of wafers able to process Fab: wafers/month (typically 10,000) Tool: wafers/hour (typically 60) At high yield, high throughput brought

8 Defects and Yield Y (1 + 1 DA) n Y : Overall yield D : Defect density A : Chip area n : Process Steps To achieve 100% overall yield : defect must be zero for each process For the same defect density and chip size : the more process steps, the lower yield For the same defect density : the larger chip size, the lower yield Yield and Die Size Killer Defects Y = 28/32 = 87.5% Y = 2/6 = 33.3%

9 Illustration of a Production Wafer Die Test die Illustration of a Production Wafer Test Structures Scribe Lines Dies

10 Clean Room Artificial environment with low particle counts Started in medical application for post-surgery infection prevention Particles kills yield IC fabrication must in a clean room Smaller feature size, requires higher grade purity in clean room Clean Room First used for surgery room to avoid bacteria contamination Adopted in semiconductor industry in 1950 Smaller device needs higher grade clean room Less particle, more expensive to build

11 Clean Room Class Class 10 is defined as less than 10 particles with diameter larger than 0.5 µm per cubic foot. Class 1 is defined as less than 1 such particles per cubic foot mm device require higher than Class 1 grade clean room Cleanroom Classes # of particles / ft Class 100,000 Class 10,000 Class 1,000 Class 100 Class 10 Class 1 Class M Particle size in micron

12 Definition of Airborne Particulate Cleanliness Class per Fed. Std. 209E Class Particles/ft µm 0.2 µm 0.3 µm 0.5 µm 5 µm M Effect of Particles on Masks Particles on Mask Film Stump on +PR Hole on PR Film Substrate Substrate

13 Effect of Particle Contamination Dopant in PR Photoresist Screen Oxide Ion Beam Partially Implanted Junctions Particle Makeup Air Cleanroom Structure Fans Makeup Air Equipment Area Class 1000 Process Tool HEPA Filter Class 1 Process Area Equipment Area Class 1000 Process Tool Return Air Raised Floor with Grid Panels Pump, RF and etc.

14 Mini-environment Class 1000 cleanroom, lower cost Boardroom arrangement, no walls between process and equipment Better than class 1 environment around wafers and the process tools Automatic wafer transfer between process tools Mini-Environment Cleanroom Makeup Air HEPA Filter Fans Makeup Air HEPA Filter Class 1000 Process Tool < Class 1 Class 1000 Process Tool Return Air Raised Floor with Grid Panels Pump, RF and etc.

15 Shelf of Gloves, Hair and Shoe Covers Gowning Area Gown Racks Disposal Bins Entrance Wash/Clean Stations Storage Shelf of Gloves To Cleanroom Shelf of Gloves Benches IC Fabrication Process Module Thin film growth, dep. and/or CMP Photolithography Etching PR Stripping Ion Implantation PR Stripping RTA or Diffusion

16 Illustration of Fab Floor Equipment Areas Process Bays Corridor Sliding Doors Service Area Gowning Area Mini-environment Fab Floor Process and metrology tools Emergency Exits Service Area Gowning Area

17 Wet Processes Etch, PR strip, or clean Rinse Dry Horizontal Furnace Heating Coils Wafers Quartz Tube Gas flow Temperature Center Zone Flat Zone Distance

18 Vertical Furnace Process Heaters Wafers Tower Schematic of a Track Stepper Integrated System Wafer Prep Spin Coater Chill Plates Stepper Chill Plates Developer Hot Plates Wafer Movement

19 Cluster Tool with Etch and Strip s PR Strip PR Strip Etch Robot Etch Transfer Loading Station Unloading Station Cluster Tool with Dielectric CVD and Etchback s O 3 -TOES Ar Sputtering PECVD Robot Transfer Loading Station Unloading Station

20 Cluster Tool with PVD s Al Cu Al Cu Ti/TiN Robot Ti/TiN Transfer Loading Station Unloading Station Dry-in Dry-out CMP System Wafer Loading and Standby Post-CMP Clean Rinse Dryer and Wafer Unloading Clean Station Multi-head Polisher Polishing Pad Polishing Heads

21 Process Bay and Equipment Areas Sliding Doors Process Tools Equipment Area Tables For PC and Metrology Tools Process Area Equipment Area Service Area Wafer Loading Doors Test Results Failed die

22 Chip-Bond Structure Microelectronics Devices and Circuits Chip Backside Metallization Substrate Metallization Chip (Silicon) Solder Substrate (Metal or Ceramic) Melt and Condense Metal Wire Wire Bonding Wire Clamp Bonding Pad Bonding Pad Bonding Pad Formation of molten metal ball Press to make contact Head retreat

23 Wire Bonding Bonding Pad Lead Bonding Pad Lead Lead contact with pressure and heat Clamp closed with heat on to break the wire IC Chip with Bonding Pads Bonding Pads

24 IC Chip Packaging Bonding Pad Chip Pins Chip with Bumps Bumps

25 Flip Chip Packaging Bumps Chip Socket Pins Bump Contact Bumps Chip Socket Pins

26 Heating and Bumps Melt Bumps Chip Socket Pins Flip Chip Packaging Chip Socket Pins

27 Molding Cavity for Plastic Packaging Top Chase Molding Cavity Bonding Wires IC Chip Lead Frame Chip Bond Metallization Pins Bottom Chase Ceramic Seal Bonding Wires IC Chip Cap Seal Metallization Layer 2 Ceramic Cap Layer 2 Lead Frame, Layer 1 Pins Chip Bond Metallization

28 Summary Overall yield Yield determines losing money or making profit Cleanroom and cleanroom protocols Process bays Process, equipment, and facility areas Die test, wafer thinning, die separation, chip packaging, and final test

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

A Laboratory Approach to Semiconductor Process Technology

A Laboratory Approach to Semiconductor Process Technology A Laboratory Approach to Semiconductor Process Technology Mary Jane Willis Manufacturing Technology Program Albuquerque TVI, A Community College Albuquerque, New Mexico March, 1998 ABSTRACT The recent

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration Andrew C. Rudack 3D Interconnect Metrology and Standards SEMATECH Albany, NY andy.rudack@sematech.org

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Environmental Monitoring

Environmental Monitoring Environmental Monitoring Purpose of Environmental Monitoring Critical process within the pharmaceutical and biotechnology industries. Determines the microbial and particulate content of cleanroom air and

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

PCN Structure FY 13/14

PCN Structure FY 13/14 PCN Structure FY 13/14 A PCN FY 13/14 PCN text FY 13/14 QMS FY 12/14 Front End Materials A0101 Process Wafers CZ 150 mm CQT A0102 Process Wafers CZ 200 mm CQT A0103 Process Wafers FZ 150 mm CQT A0104 Process

More information

CLEAN ROOM TRAINING CLEAN ROOM AREAS

CLEAN ROOM TRAINING CLEAN ROOM AREAS PREPARED FOR USE AT APL CLEAN ROOM AREAS Jon Coopersmith Scott Lange Rob Lee Syed Ali Modified for Homewood use with permission from authors 1 PURPOSE - EDUCATION What s important What to do What not to

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Flip Chip Package Qualification of RF-IC Packages

Flip Chip Package Qualification of RF-IC Packages Flip Chip Package Qualification of RF-IC Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract Quad Flat Pack No Leads (QFNs) are thermally enhanced plastic packages

More information

Balzers Sputter Coater SCD 050

Balzers Sputter Coater SCD 050 Balzers Sputter Coater SCD 050 The SCD 050 is a bench top, sputter deposition system designed for thin films on substrates up to 6 inches. Morphology and thickness is user controlled using power, pressure,

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Printed Circuits Danilo Manstretta microlab.unipv.it/ danilo.manstretta@unipv.it Printed Circuits Printed Circuits Materials Technological steps Production

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Chapter 11 PVD and Metallization

Chapter 11 PVD and Metallization Chapter 11 PVD and Metallization 2006/5/23 1 Metallization Processes that deposit metal thin film on wafer surface. 2006/5/23 2 1 Metallization Definition Applications PVD vs. CVD Methods Vacuum Metals

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered TowerJazz Global Symposium Specializing in Open Cavity Packages & Complete IC Assembly Services and TowerJazz Global Symposium Quik-Pak a division of Delphon Industries 2011 Gold Sponsor and TowerJazz

More information

Mounting Instructions for SP4 Power Modules

Mounting Instructions for SP4 Power Modules Mounting Instructions for SP4 Power Modules Pierre-Laurent Doumergue R&D Engineer Microsemi Power Module Products 26 rue de Campilleau 33 520 Bruges, France Introduction: This application note gives the

More information

Ball Grid Array (BGA) Technology

Ball Grid Array (BGA) Technology Chapter E: BGA Ball Grid Array (BGA) Technology The information presented in this chapter has been collected from a number of sources describing BGA activities, both nationally at IVF and reported elsewhere

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

From sand to circuits How Intel makes integrated circuit chips. Sand with Intel Core 2 Duo processor.

From sand to circuits How Intel makes integrated circuit chips. Sand with Intel Core 2 Duo processor. www.intel.com Learn more about Intel history at www.intel.com/museum Copyright 2008 Intel Corporation. All rights reserved. Intel, Intel logo, Celeron, Intel386, Intel486, i386, i486, Intel Core, Intel

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Figure 1 Wafer with Notch

Figure 1 Wafer with Notch Glass Wafer 2 SCHOTT is an international technology group with more than 125 years of experience in the areas of specialty glasses, materials and advanced technologies. With our high-quality products and

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

POWER FORUM, BOLOGNA 20-09-2012

POWER FORUM, BOLOGNA 20-09-2012 POWER FORUM, BOLOGNA 20-09-2012 Convertitori DC/DC ad alta densità di potenza e bassa impedenza termica. Massimo GAVIOLI. Senior Field Application Engineer. Intersil SIMPLY SMARTER Challenges when Designing

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

AN10706. Handling bare die. Document information

AN10706. Handling bare die. Document information Rev. 02 10 June 2011 Application note Document information Info Keywords Abstract Content bare die, handling, ESD, clean room, mechanical damages, delivery forms, transport conditions, store conditions

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Chapter 12. Chemical Mechanical Polishing

Chapter 12. Chemical Mechanical Polishing Chapter 12 Chemical Mechanical Polishing 1 Objectives List applications of CMP Describe basic structure of a CMP system Describe slurries for oxide and metal CMP Describe oxide CMP process. Describe metal

More information

Solder Reflow Guide for Surface Mount Devices

Solder Reflow Guide for Surface Mount Devices June 2015 Introduction Technical Note TN1076 This technical note provides general guidelines for a solder reflow and rework process for Lattice surface mount products. The data used in this document is

More information

Unit 6: EXTRUSION. Difficult to form metals like stainless steels, nickel based alloys and high temperature metals can also be extruded.

Unit 6: EXTRUSION. Difficult to form metals like stainless steels, nickel based alloys and high temperature metals can also be extruded. 1 Unit 6: EXTRUSION Introduction: Extrusion is a metal working process in which cross section of metal is reduced by forcing the metal through a die orifice under high pressure. It is used to produce cylindrical

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

A Basic Introduction to Clean Rooms

A Basic Introduction to Clean Rooms A Basic Introduction to Clean Rooms A cleanroom is a controlled environment where products are manufactured. It is a room in which the concentration of airborne particles is controlled to specified limits.

More information

RCA CLEANING FOR 2 WAFERS

RCA CLEANING FOR 2 WAFERS Allowed Chemicals: NH4OH(Ammonium Hydroxide), H2O2(Hydrogen Peroxide) HCl(Hydrochloric acid) HF(Hydrofluoric acid) Recipe: RCA CLEANING FOR 2 WAFERS Preparation of 2% HF:192 ml DI water+8 ml of(49%) HF.

More information

HOT BAR REFLOW SOLDERING FUNDAMENTALS. A high quality Selective Soldering Technology

HOT BAR REFLOW SOLDERING FUNDAMENTALS. A high quality Selective Soldering Technology HOT BAR REFLOW SOLDERING FUNDAMENTALS A high quality Selective Soldering Technology Content 1. Hot Bar Reflow Soldering Introduction 2. Application Range 3. Process Descriptions > Flex to PCB > Wire to

More information

Integrated Circuit Packaging and Thermal Design

Integrated Circuit Packaging and Thermal Design Lezioni di Tecnologie e Materiali per l Elettronica Integrated Circuit Packaging and Thermal Design Danilo Manstretta microlab.unipv.it danilo.manstretta@unipv.it Introduction to IC Technologies Packaging

More information

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications Outline Brief Intro - Bruker, BNS, SOM General Overview Bruker Dektak Stylus Products Semi Applications Bruker Contour Products

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region Solutions without Boundaries PCB Surface Finishes Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region 1 Notice Notification of Proprietary Information: This document contains proprietary

More information

Safety, Cleaning, and Chemical Disposal Procedures

Safety, Cleaning, and Chemical Disposal Procedures Safety, Cleaning, and Chemical Disposal Procedures 1. Using Acids At many points in the fabrication process strong acids are used as etchants. These cause severe burns if kept in contact with your skin

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

RoHS-Compliant Through-Hole VI Chip Soldering Recommendations

RoHS-Compliant Through-Hole VI Chip Soldering Recommendations APPLICATION NOTE AN:017 RoHS-Compliant Through-Hole VI Chip Soldering Recommendations Ankur Patel Associate Product Line Engineer Contents Page Introduction 1 Wave Soldering 1 Hand Soldering 4 Pin/Lead

More information

1. Single sided PCB: conductors on only one surface of a dielectric base.

1. Single sided PCB: conductors on only one surface of a dielectric base. The Department of Electrical Engineering at IIT Kanpur has a variety of devices and machines to produce single layer, double layer plated through printed circuit boards (PCBs), multi layer (max 8 layers)

More information

Free Examples of plant layout drawing

Free Examples of plant layout drawing Free layout examples; a wide variety of industrial layouts, within different facility types. Principles of plant layout and design will apply widely. Free Examples of plant layout drawing Principles of

More information

Lecture 9. Surface Treatment, Coating, Cleaning

Lecture 9. Surface Treatment, Coating, Cleaning 1 Lecture 9. Surface Treatment, Coating, Cleaning These processes are sometimes referred to as post-processing. They play a very important role in the appearance, function and life of the product. Broadly,

More information

High Efficiency Particulate Air (HEPA) Filter Velocity Reduction Study

High Efficiency Particulate Air (HEPA) Filter Velocity Reduction Study High Efficiency Particulate Air (HEPA) Filter Velocity Reduction Study International SEMATECH Manufacturing Initiative Advanced Materials Research Center, AMRC, International SEMATECH Manufacturing Initiative,

More information

Urea and Ammonia Removal from De-Ionized Water via Steam Purification

Urea and Ammonia Removal from De-Ionized Water via Steam Purification Urea and Ammonia Removal from De-Ionized Water via Steam Purification By Jeffrey J. Spiegelman, President, RASIRC and Russell J. Holmes, Test Lab Manager, RASIRC 11760 Sorrento Valley Road, San Diego,

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

5800 Temperature Sensor Cable Assembly

5800 Temperature Sensor Cable Assembly 5800 Temperature Sensor Cable Assembly Removal and Replacement Instruction Sheet #60-4702-070 Revision D, January 14, 2013 Overview The 5800 has two refrigeration temperature sensors, one attached to the

More information

SMD Rework Station TABLE OF CONTENTS

SMD Rework Station TABLE OF CONTENTS SMD Rework Station Thank you for purchasing the Hakko 50B SMD Rework Station. The Hakko 50B is designed to solder and desolder surface mounted devices with hot air. Please read this manual before operating

More information

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view. Rev. 1.

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view.  Rev. 1. Application Note PAC-006 By J. Lu, Y. Ding, S. Liu, J. Gong, C. Yue July 2012 Molded Chip Scale Package Assembly Guidelines Introduction to Molded Chip Scale Package A chip scale package (CSP) has direct

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Cleanroom Solutions Ltd T: 01273 760 060 F: 01273 760 061 E: mail@cleanroom-solutions.co.uk W: www.cleanroom-solutions.co.uk

Cleanroom Solutions Ltd T: 01273 760 060 F: 01273 760 061 E: mail@cleanroom-solutions.co.uk W: www.cleanroom-solutions.co.uk Cleanroom Solutions Ltd T: 01273 760 060 F: 01273 760 061 E: mail@cleanroom-solutions.co.uk W: Introduction Cleanroom Solutions Ltd has a wealth of experience together with a solid track record in the

More information

Failure Analysis (FA) Introduction

Failure Analysis (FA) Introduction Failure Analysis (FA) Introduction (III - Reliability ) Tung-Bao Lu 1 of 23 Reliability Stress Stress Reliability Geberal Condition Temperature Humidity Electrical Others Precondition Baking/L3/Reflowing

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

Internet of Things (IoT) and its impact on Semiconductor Packaging

Internet of Things (IoT) and its impact on Semiconductor Packaging Internet of Things (IoT) and its impact on Semiconductor Packaging Dr. Nathapong Suthiwongsunthorn 21 November 2014 What is the IoT? From Wikipedia: The Internet of Things (IoT) is the interconnection

More information

Cleaning. By the end of this chapter, you will be able to: Introduction. Definitions. Chapter 9

Cleaning. By the end of this chapter, you will be able to: Introduction. Definitions. Chapter 9 Chapter 9 By the end of this chapter, you will be able to: l Define the terms cleaning, decontamination, disinfection l List the factors that affect disinfection l Describe the aims of a cleaning schedule

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3

DI-water technology for photoresist removal. shifts from 200- to 300-mm wafers, the use of ozonated deionized water (DIO 3 Using an ozonated- DI-water technology for photoresist removal Jae-Inh Song, Richard Novak, Ismail Kashkoush, and Pieter Boelen, Akrion As the semiconductor industry shifts from 200- to 300-mm wafers,

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined

the runnerless types of molds are explained post molding operations are described the basic methods of applied decoration methods are examined Training Objectives After watching the video and reviewing this printed material, the viewer will gain knowledge and understanding of the various plastic finishing processes used in industry and their

More information

Investing in the Air Products Market

Investing in the Air Products Market Expertise, technology, and gases: A total solution for the global electronics packaging, assembly and test industry Our objective is simple we use our 20+ years of global experience to deliver the expertise,

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Advanced Technologies and Equipment for 3D-Packaging

Advanced Technologies and Equipment for 3D-Packaging Advanced Technologies and Equipment for 3D-Packaging Thomas Oppert Semicon Russia 15 th May 2014 Outline Short Company Introduction Electroless Plating on Wafer Level Ultra-SB 2 - Wafer Level Solder Balling

More information

Cleanroom Processing Modules

Cleanroom Processing Modules GEORGIA INSTITUTE OF TECHNOLOGY Cleanroom Processing Modules Cleanroom processing information Institute for Electronics and Nanotechnology Amy Bonecutter, Alexis Schoenborn & Mikkel A. Thomas Fall 2013

More information

Electronic component assembly

Electronic component assembly Electronic component assembly itrogen is commonly used to provide a suitable atmosphere for complex fine pitch assembly in electronic printed circuit board, hard disk and semiconductor manufacture where

More information

GaN IC Die Handling, Assembly and Testing Techniques

GaN IC Die Handling, Assembly and Testing Techniques GaN IC Die Handling, Assembly and Testing Techniques Page 1 of 9 1. Scope This document describes the storage and handling requirements for GaN IC chips. It also describes recommended assembly and testing

More information

WIRE, TERMINAL AND CONNECTOR REPAIR CONDUCTORS

WIRE, TERMINAL AND CONNECTOR REPAIR CONDUCTORS CONDUCTORS Conductors are needed to complete the path for electrical current to flow from the power source to the working devices and back to the power source. Special wiring is needed for battery cables

More information

What to Know When Considering a Cleanroom. by Simplex Technical Staff

What to Know When Considering a Cleanroom. by Simplex Technical Staff What to Know When Considering a Cleanroom by Simplex Technical Staff What to Know When Considering a Cleanroom by Simplex Technical Staff Does your business plan include the development of an area in your

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

SEMI Equipment and Materials Outlook. Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California

SEMI Equipment and Materials Outlook. Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California SEMI Equipment and Materials Outlook Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California Wednesday March 18, 2015 Outline o Fab Investments and Equipment Spending

More information

Technical Brief. Thermoforming Processes. Vacuum Forming and Methods

Technical Brief. Thermoforming Processes. Vacuum Forming and Methods Processes The process of forming a thermoplastic sheet into a three dimensional shape by clamping the sheet in a frame, heating it to render it soft, then applying differential pressure to make the sheet

More information