Altatech. Junior Scientist and Industry Annual Meeting Enabling solutions for the nanoworld. March 4 th Yanqing LIU

Size: px
Start display at page:

Download "Altatech. Junior Scientist and Industry Annual Meeting Enabling solutions for the nanoworld. March 4 th Yanqing LIU"

Transcription

1 Enabling solutions for the nanoworld Junior Scientist and Industry Annual Meeting 2016 March 4 th 2016 Yanqing LIU Altatech 611 rue Aristide Bergès, Z.A. de Pré Millet MONTBONNOT (France) Tel. +33 (0) Fax +33 (0)

2 Agenda 1 Altatech at a glance 2 NanoVision and NanoDeposition 3 Doctors in Altatech 2 03/03/2016

3 Created in 2004 Soitec equipment division Member of MINALOGIC A strategy of innovation focused on technology breakthrough, R&D in collaboration with customers Fast and flexible partner, customer focused organization Strong IP portfolio Highly skilled and multi-cultural team Altatech at a glance Highly efficient, cost-effective Inspection and CVD Technologies used for R&D and manufacturing of semiconductor, LEDs, MEMS and photovoltaic devices. 3 03/03/2016

4 Altatech worldwide presence Altatech Headquarter Montbonnot - France Altatech Sales and Service Altatech Sales Office Representatives and Distributors 4 03/03/2016

5 Our customers all over the world An increasing worldwide customer base 5 03/03/2016

6 Agenda 1 Altatech at a glance 2 NanoVision and NanoDeposition 3 Doctors in Altatech 6 03/03/2016

7 Altatech organization NanoDeposition division NanoVision division Marketing & Sales HR R&D Purchasing & Manufacturing & Services Quality, Supply chain & stock Hardware & Software Engineering Technical & Process support Finance We manage the whole supply chain to provide a greater value to our customers 7 03/03/2016

8 Manufacturing facilities Cleanroom : manufacturing, assembly and testing Class area of ~ 1200m² Registered ISO testing areas Assembly workshops Analysis area (SEM, optical test bench ) 8 03/03/2016

9 Altatech product lines : NanoDeposition and NanoVision 9 03/03/2016

10 Altatech deposition cluster Front-End Back-end 3D/TSV Isolation Memory MEMS,LED,Solar Gate contact Gate oxide Spacer/stressor Passivation & Isolation Metal contact MIM capacitor Isolation Barrier Seed MIM capacitor PCRAM Isolation & Passivation Active layer Contact Altatech offers innovative materials deposition tools, in a wide range of applications PECVD MOCVD PEALD ICP FAST 10 03/03/2016

11 Altatech inspection cluster Altatech offers inspection solutions throughout the whole process, for wafers and wafers on film frames DarkField inspection 2D or 3D inspection and metrology Phase Shift Deflectometry inspection Edge inspection Synchronous Doppler inspection 11 03/03/2016

12 Altatech inspection cluster a b c d e Edge Inspection Phase Shift Deflectometry Inspection DarkField front side/back side Inspection 2D BrightField Inspection 3D BrightField Inspection 12 03/03/2016 ALTATECH CONFIDENTIAL

13 Inspection equipments Eclipse series very thin wafers, Taiko rings, bonded wafers, bare substrates, epitaxial layers, silicon-on-insulator (SOI) wafers and glass substrates Orion serie For 2D or 3D inspection on patterned substrates Comet serie Quality inspection of wafers mounted on filmframe before and after dicing 13 03/03/2016

14 Agenda 1 Altatech at a glance 2 NanoVision and NanoDeposition 3 Doctors in Altatech 14 03/03/2016

15 Doctors in Altatech Among 43 staffs in Altatech: - 8 doctors - 21 engineers / Bac +5 Positions held by doctors : -3 process support engineers - 2 R&D engineers - 2 product line directors - 1 business developer Average age: 37 years 80% of staff are engineer status Average seniority: 5 years Crédit Impôt Recherche 15 03/03/2016

16 Doctors in Altatech Collaborations with laboratories - CEA/LETI, France - IMEP/Grenoble INP, France - Fraunhofer, German - University of Washington, USA Role of doctors in industry - Why hiring a doctor? - Qualities of a doctor - Points to be improved - Post-doc: advantage or disadvantage? Experience! Integration of doctors in the company - Integration plan: organization + technologies (depending on the job position) 16 03/03/2016

17 Has a full Product portfolio Altatech : Is for wide range of applications Is flexible and and highly innovative Altatech Broaden Your Innovation Horizon Altatech We think Beyond the product

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning

European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning European bespoke wafer processing & development solutions for : Grinding, CMP, Edge Treatment, Wafer Bonding, Dicing and Cleaning Georges Peyre : Sales & Marketing Director SEMICON Europa Grenoble - 2014

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010

Optical Disc and Solar Annual Press/Analyst Conference - March 26, 2010 SMART SOLUTIONS TO DRIVE THE FUTURE Optical Disc and Solar Annual Press/Analyst Conference - Dr. - Ing. Stefan Rinck AG Optical Disc & Solar - 2 - Optical Disc - Blu-ray Excellent starting position for

More information

Soitec. Eric Guiot, Manager R&D Soitec. 7 mars 2011 JSIam 2011

Soitec. Eric Guiot, Manager R&D Soitec. 7 mars 2011 JSIam 2011 Soitec Eric Guiot, Manager R&D Soitec 1 Agenda Soitec: company presentation Applications and market PhDs at Soitec 2 Mission Statement Supply innovative materials and technologies for the electronics and

More information

FRAUNHOFER INSTITUTe For

FRAUNHOFER INSTITUTe For FRAUNHOFER INSTITUTe For surface engineering and thin films MOCCA + PROCESS AUTOMATION & OPTICAL MONITORING MOCCA + Automate your thin film coating process In many thin film coating processes various factors

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Figure 1 Wafer with Notch

Figure 1 Wafer with Notch Glass Wafer 2 SCHOTT is an international technology group with more than 125 years of experience in the areas of specialty glasses, materials and advanced technologies. With our high-quality products and

More information

Future 1988 1992 1996 1998 1999 2000 2002-2003 2004-2005 2006-2007 2008 2010 2011 2012-2013

Future 1988 1992 1996 1998 1999 2000 2002-2003 2004-2005 2006-2007 2008 2010 2011 2012-2013 Company Profile Innovative Motion Control about etel With the largest direct drive motor range available on the market, ETEL provides solutions ranging from high-end motion systems with nanometer precision,

More information

IMEC S BUSINESS MODEL. M. Van Rossum

IMEC S BUSINESS MODEL. M. Van Rossum IMEC S BUSINESS MODEL M. Van Rossum Arenberg Doctoral School 2014 FACTS & FIGURES Total revenue in 2013 of 330 M R&D staff of >2100 people Collaboration with 600 companies & 208 universities, worldwide

More information

Meet with innovative French companies & clusters in Optics Photonics to initiate business, industrial and technological partnerships

Meet with innovative French companies & clusters in Optics Photonics to initiate business, industrial and technological partnerships Meet with innovative French companies & clusters in Optics Photonics to initiate business, industrial and technological partnerships Organized by Ubifrance, the French Agency for International Business

More information

Investor Presentation Q3 2015

Investor Presentation Q3 2015 Investor Presentation Q3 2015 Veeco Instruments 1 Investor Presentation Veeco at a Glance > Leading deposition and etch solutions provider; Veeco enables high-tech electronic device manufacturing > Founded

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2015 SUSS MICROTEC INVESTOR PRESENTATION November 2015 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial performance and earnings of SUSS MicroTec AG and its

More information

2015-2016 Facility Rates & Expense Caps

2015-2016 Facility Rates & Expense Caps NANOFAB FEES / SERVICES Entry Fee $20.00/Day $32.10/Day Nanofab Training Fee $25.00/Hour $40.13/Hour Nanofab Process Development/Labor $50.00/Hour $80.25/Hour Model Shop $25.00/Month $40.13/Month Wafer

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

From Space to Earth: CPV Concentrator Photovoltaics. Dr. Gerhard Strobl. Milano, 07 May 2013

From Space to Earth: CPV Concentrator Photovoltaics. Dr. Gerhard Strobl. Milano, 07 May 2013 From Space to Earth: CPV Concentrator Photovoltaics Dr. Gerhard Strobl Milano, 07 May 2013 1 Table of Contents Company Solar Cells for Space Terrestrial Concentrator Photovoltaics (CPV) Conclusion 2 History

More information

YOLE Finance Corporate Finance & Advisory

YOLE Finance Corporate Finance & Advisory YOLE Finance Corporate Finance & Advisory From technology to industrial value Optimize EU funding to support your company s development Espace Hamelin - 17 rue de l Amiral Hamelin 75016 Paris France Tel

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

Samsung Galaxy S6 Fingerprint Sensor New Synaptics Design

Samsung Galaxy S6 Fingerprint Sensor New Synaptics Design Samsung Galaxy S6 Fingerprint Sensor New Synaptics Design Second generation Samsung Galaxy fingerprint sensor: same capacitive technology but totally different design For the second time Samsung introduces

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

PCN Structure FY 13/14

PCN Structure FY 13/14 PCN Structure FY 13/14 A PCN FY 13/14 PCN text FY 13/14 QMS FY 12/14 Front End Materials A0101 Process Wafers CZ 150 mm CQT A0102 Process Wafers CZ 200 mm CQT A0103 Process Wafers FZ 150 mm CQT A0104 Process

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory Grad Student Presentation Topics 1. Baranowski, Lauryn L. AFM nano-oxidation lithography 2. Braid, Jennifer L. Extreme UV lithography 3. Garlick, Jonathan P. 4. Lochner, Robert E. 5. Martinez, Aaron D.

More information

Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen

Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen Dr. Frank Allenstein 3D-Micromac AG 3D-Micromac At a Glance 141 employees in R&D, manufacturing and service Worldwide more than 300 industrial

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

PV-FZ Silicon Wafers for High Efficiency Solar Cells

PV-FZ Silicon Wafers for High Efficiency Solar Cells Note relaunched January 2014, replacing PV-FZ Silicon Wafers for High Efficiency Solar Cells, September 2010 APPLICATION NOTE PV-FZ Silicon Wafers for High Efficiency Solar Cells PV-FZ monocrystalline

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

ni.com/vision NI Vision

ni.com/vision NI Vision ni.com/vision NI Vision The NI Vision Approach Integrate NI LabVIEW graphical system design software across the entire NI vision hardware portfolio to create a flexible, open platform that reduces development

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

Unternehmerseminar WS 2009 / 2010

Unternehmerseminar WS 2009 / 2010 Unternehmerseminar WS 2009 / 2010 Fachbereich: Maschinenbau und Mechatronik Autor / Thema / Titel: Key Enabling Technology Business Planning Process: Product Roadmaps 1 Table of Contents About AIXTRON

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Fraunhofer IZM-ASSID Targets

Fraunhofer IZM-ASSID Targets FRAUNHOFER INSTITUTE FoR Reliability and MiCroinTegration IZM Fraunhofer IZM ASSID All Silicon System Integration Dresden All Silicon System Integration Dresden Fraunhofer IZM-ASSID Fraunhofer IZM The

More information

Data Storage and HAMR

Data Storage and HAMR Data Storage and HAMR Seagate Technology Mark Gubbins April 2013 Overview Storage Business and Hard Drives Magnetic Recording Head Technology HAMR - The Future of Magnetic Recording 2 The Move Toward Mobility

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

K&S to Acquire Assembléon Transaction Overview

K&S to Acquire Assembléon Transaction Overview K&S to Acquire Assembléon Transaction Overview Safe Harbor Statement In addition to historical statements, this presentation and oral statements made in connection with it may contain statements relating

More information

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes

Evaluating Surface Roughness of Si Following Selected Lapping and Polishing Processes Applications Laboratory Report 86 Evaluating Surface Roughness of Si Following Selected Processes Purpose polishing of samples is a common application and required for a variety of manufacturing and research

More information

ACPC 2011 Metrics Quality Control and Demonstrating Value to Management. Mark W. Lauroesch V.P. & General Intellectual Property Counsel

ACPC 2011 Metrics Quality Control and Demonstrating Value to Management. Mark W. Lauroesch V.P. & General Intellectual Property Counsel ACPC 2011 Metrics Quality Control and Demonstrating Value to Management Mark W. Lauroesch V.P. & General Intellectual Property Counsel Agenda Company Overview Overview of How Metrics Are Used at Corning

More information

Welcome to the Chemnitzer Seminar System Integration Technologies. Fraunhofer ENAS

Welcome to the Chemnitzer Seminar System Integration Technologies. Fraunhofer ENAS Welcome to the Chemnitzer Seminar System Integration Technologies Smart Systems Campus Chemnitz D C A G I E B H F A Institute of Physics and Center for Microtechnologies at the CUT B Fraunhofer ENAS G

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

Advanced materials & solutions for high h temperatures

Advanced materials & solutions for high h temperatures 2010 Advanced materials & solutions for high h temperatures t Mission To engineer innovative solutions for our customers High temperature Corrosion Mechanical wear 2010 From material to engineering solutions

More information

Concentrix Technology for Utility-Scale Solar Power Plants

Concentrix Technology for Utility-Scale Solar Power Plants Concentrix Technology for Utility-Scale Solar Power Plants The product Soitec is a leading manufacturer and supplier of concentrator photovoltaic (CPV) systems using highly effi cient Concentrix technology

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool.

Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool. Computing the Carbon Footprint Supply Chain for the Semiconductor Industry: A Learning Tool. Yasser Dessouky, Minnie H. Patel, and Tweesak Kaosamphan Industrial & Systems Engineering Charles W. Davidson

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

S Tile : a new player in the photovoltaic market in Europe. Press file

S Tile : a new player in the photovoltaic market in Europe. Press file S Tile : a new player in the photovoltaic market in Europe Press file Feb. 2013 Page 1 sur 11 SUMMARY The company S Tile has been created in 2007 in Poitiers, to develop a new process of solar cell manufacturing.

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Review. Zhaga A Successful Strategy Copper Bonding Wires TRIAC Dimming - EMI Lacquer Systems for PCBs. www.led-professional.com.

Review. Zhaga A Successful Strategy Copper Bonding Wires TRIAC Dimming - EMI Lacquer Systems for PCBs. www.led-professional.com. www.led-professional.com ISSN 1993-890X Review The leading worldwide authority for LED & OLED lighting technology information Jan/Feb 2013 Issue 35 LpR Zhaga A Successful Strategy Copper Bonding Wires

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011 Company Presentation Sustainable Technologies Conference February 2011 June 8, 2011 Disclaimer This presentation contains forward-looking statements relating to the business, financial performance and

More information

CRITICAL FLUID MANAGEMENT FLUOROPURE TRILAYER HDPE DRUMS

CRITICAL FLUID MANAGEMENT FLUOROPURE TRILAYER HDPE DRUMS CRITICAL FLUID MANAGEMENT FLUOROPURE TRILAYER HDPE DRUMS High purity transport technology CRITICAL FLUID MANAGEMENT Safe, high purity chemical transport Entegris is a worldwide leader in providing high

More information

Screen Printing For Crystalline Silicon Solar Cells

Screen Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells Printing For Crystalline Silicon Solar Cells INTRODUCTION One of the most crucial steps for producing crystalline silicon solar cells is creating the grid of

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Basler beat AREA SCAN CAMERAS. High-resolution 12 MP cameras with global shutter

Basler beat AREA SCAN CAMERAS. High-resolution 12 MP cameras with global shutter Basler beat AREA SCAN CAMERAS High-resolution 12 MP cameras with global shutter Outstanding price / performance ratio High speed through Camera Link interface Flexible and easy integration Overview Convincing

More information

Renewable Energy Research for Global Markets. REnKnow.Net Renewable Energies Knowledge Transfer Network

Renewable Energy Research for Global Markets. REnKnow.Net Renewable Energies Knowledge Transfer Network REnKnow.Net Renewable Energies Knowledge Transfer Network 1. REnKnow.Net In June 2007 scientists, representatives of industrial partners and members of the Parliament discussed about a possibility to improve

More information

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc.

The Current status of Korean silicon photovoltaic industry and market. 2011. 3.17 Sangwook Park LG Electronics Inc. The Current status of Korean silicon photovoltaic industry and market 2011. 3.17 Sangwook Park LG Electronics Inc. contents 1.Introduction (World PV Market) 2.Korean PV market 3.Photovoltaics in LG Electronics

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Ravi Energie Group. Introduction

Ravi Energie Group. Introduction Ravi Energie Group Introduction RAVI ENERGIE GROUP Group Headquarters 1 Executive Drive, Suite 260 Somerset, NJ 08873, USA Today s Agenda Our Motivation Success Mantra About Us Our Vision Our Mission Our

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

MoveInspect HF HR. 3D measurement of dynamic processes MEASURE THE ADVANTAGE. MoveInspect TECHNOLOGY

MoveInspect HF HR. 3D measurement of dynamic processes MEASURE THE ADVANTAGE. MoveInspect TECHNOLOGY MoveInspect HF HR 3D measurement of dynamic processes MEASURE THE ADVANTAGE MoveInspect TECHNOLOGY MoveInspect HF HR 3D measurement of dynamic processes Areas of application In order to sustain its own

More information

Silicon Drift Detector Product Brochure Update 2013

Silicon Drift Detector Product Brochure Update 2013 Silicon Drift Detector Product Brochure Update 2013 Content Classic Silicon Drift Detector High Resolution Silicon Drift Detector Multielement Silicon Detector Extra Large Detector Series July 2013 About

More information

Composants actifs ultra rapides pour les composants et interconnexions optiques intégrées

Composants actifs ultra rapides pour les composants et interconnexions optiques intégrées Composants actifs ultra rapides pour les composants et interconnexions optiques intégrées Jean-Marc Fedeli CEA,LETI, MinatecCampus, 17 rue des Martyrs, F-38054 GRENOBLE cedex 9, France Contact: jean-marc.fedeli@cea.fr

More information

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014)

Staff: 1277 including students and student assistants Annual Budget: 86,1 million euros, including investments. (December 2014) Fraunhofer Institute for Solar Energy Systems ISE A short overview The Institute The Fraunhofer Institute for Solar Energy Systems ISE is committed to promoting sustainable, economic, safe and socially

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

2004 Annual Report. The Process of Innovation SM. International

2004 Annual Report. The Process of Innovation SM. International 2004 Annual Report The Process of Innovation SM ASM International Contents 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 12 Report from the

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

Product Range Overview

Product Range Overview Product Range Overview Stereo Optical Inspection Microscopes Non-Contact Measurement Systems Laboratory Microscopes Metallurgical Microscopes FM 557119 Vision Engineering Ltd has been certificated for

More information

Build your own solution with UMS

Build your own solution with UMS FOUNDRY SERVICES Build your own solution with UMS Your innovative partner for high performance, high yield MMIC solutions 2015-2016 www.ums-gaas.com FOUNDRY SERVICES UMS has developed a proven family of

More information

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

The Quality Connection. High Performance Cable Solutions for the World of Semiconductors

The Quality Connection. High Performance Cable Solutions for the World of Semiconductors The Quality Connection High Performance Cable Solutions for the World of Semiconductors 2 Total Flexibility for Applications with the Toughest Requirements LEONI is your long-term, reliable partner supplying

More information

The Quality Connection. High Performance Cable Solutions for the World of Semiconductors

The Quality Connection. High Performance Cable Solutions for the World of Semiconductors The Quality Connection High Performance Cable Solutions for the World of Semiconductors 2 Total Flexibility for Applications with the Toughest Requirements LEONI is your long-term, reliable partner supplying

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

History 02.02.2010. www.roodmicrotec.com

History 02.02.2010. www.roodmicrotec.com Zwolle Dresden Nördlingen Stuttgart certified by. History - 1969: Foundation of German Signetics GmbH, test and assembly location, in Nördlingen (Germany) - 1974: Takeover by Philips Semiconductors - 1983:

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Advanced Materials & Technologies for the Solar and Semiconductor industry. The Merger of Two Leading Technology Companies

Advanced Materials & Technologies for the Solar and Semiconductor industry. The Merger of Two Leading Technology Companies Advanced Materials & Technologies for the Solar and Semiconductor industry The Merger of Two Leading Technology Companies ABOUT US HPQT is being created from the combination of Magnolia Solar and Solar

More information