2004 Annual Report. The Process of Innovation SM. International

Size: px
Start display at page:

Download "2004 Annual Report. The Process of Innovation SM. International"

Transcription

1 2004 Annual Report The Process of Innovation SM ASM International

2

3 Contents 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 12 Report from the Supervisory Board 14 Corporate Governance 19 Corporate Information 20 ASM International Worldwide 23 Form 20-F ASM In the more than 35-year history of our Company, ASM International N.V. has witnessed the evolution of the semiconductor equipment industry, from two inch wafers of the late 1960 s, to the 300mm wafers of today. Symbolic of these products is the silicon crystal... the basis of the ASM International logo.

4 In prior years, we used to report three separate sets of financial statements; one Annual Report including financial statements in accordance with accounting principles generally accepted in the United States ( US GAAP ), one Statutory Annual Report including financial statements in accordance with accounting principles generally accepted in the Netherlands ( Dutch GAAP ) and one Annual Report on Form 20-F based on US GAAP which was filed with the U.S. Securities and Exchange Commission ( SEC ). With effect from our 2004 Annual Report, we have changed the way we present information in our Annual Report. We have chosen to present the Form 20-F to our shareholders by including it in our 2004 Annual Report in accordance with US GAAP, in order to improve transparency. We will continue to prepare a Statutory Annual Report including financial statements in accordance with Dutch GAAP. In addition to historical information, this Annual Report contains statements relating to our future business and / or results, including, among others, statements regarding future expenditures, sufficiency of cash generated from operations, maintenance of majority interest in ASM Pacific Technology, business strategy, product development, product acceptance, market penetration, market demand, return on investment in new products, facility completion dates and product shipment dates, and any other non-historical information in this Annual Report. These statements include certain projections and business trends, which are forward-looking within the meaning of the United States Private Securities Litigation Reform Act of You can identify these statements by the use of words like may, will, could, should, project, believe, anticipate, expect, plan, estimate, forecast, potential, intend, continue and variations of these words or comparable words. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. You should be aware that our actual results may differ materially from those contained in the forward-looking statements as a result of certain risks and uncertainties. These risks and uncertainties include, but are not limited to, economic conditions and trends in the semiconductor industry and the duration of the current industry downturn specifically, currency fluctuations, the timing of significant orders, market acceptance of new products, competitive factors, risk factors related to litigation, and other risks indicated in the Company s Form 20-F for the year ended December 31, 2004 and other filings from time to time with the SEC. The Consolidated Financial Statements and other financial information included in this Annual Report are prepared in accordance with US GAAP. A copy of ASM International s Statutory Annual Report prepared in accordance with Dutch GAAP, is available free of charge by writing to our corporate offices or to investor.relations@asm.com. ASMI ANNUAL REPORT

5 Financial Highlights and Selected Comparative Financial Data In euros and U.S. dollars 1 Year ended December 31,) (millions, except per share data and full-time equivalents) 2000) 2001) 2002) 2003) 2004) 2004) EUR) EUR) EUR) EUR) EUR) US $) Operations: Net sales: 935.2) 561.1) 518.8) 581.9) 754.2) 1,021.1) Front-end 379.3) 336.6) 266.9) 286.5) 355.5) 481.4) Back-end 555.9) 224.5) 251.9) 295.4) 398.7) 539.7) Earnings (loss) from operations: 191.8) 24.3) (6.0) 14.2) 88.4) 119.7) Front-end 34.5) (3.7) (42.7) (45.3) (16.2) (21.9) Back-end 157.3) 28.0) 36.7) 59.5) 104.6) 141.6) Net earnings (loss) before cumulative effect of change in accounting principle 98.1) 6.1) (32.2) (32.1) 24.0) 32.5) Cumulative effect of change in accounting principle, net of tax 2 (3.8) -) -) -) -) -) Net earnings (loss) 94.3) 6.1) (32.2) (32.1) 24.0) 32.5) Balance sheet: Net working capital ) 214.6) 199.8) 154.4) 189.2) 256.1) Total assets 777.9) 757.1) 648.7) 662.2) 823.8) 1,115.3) Net debt 4 (30.5) 53.3) 76.1) 52.8) 78.6) 106.4) Backlog: 345.6) 132.6) 142.9) 199.0) 186.8) 252.9) Front-end 211.6) 107.2) 109.9) 104.7) 140.9) 190.8) Back-end 134.0) 25.4) 33.0) 94.3) 45.9) 62.1) Number of staff: Full-time equivalents: 7,091) 5,955) 6,554) 7,433) 8,260) 8,260) Front-end 1,064) 1,125) 1,226) 1,179) 1,492) 1,492) Back-end 6,027) 4,830) 5,328) 6,254) 6,768) 6,768) Per share data: Earnings (loss) per share from operations: Basic 4.10) 0.50) (0.12) 0.29) 1.72) 2.32) Diluted 3.95) 0.49) (0.12) 0.29) 1.71) 2.31) Net earnings (loss) per share before cumulative effect of change in accounting principle: 2 Basic 2.09) 0.12) (0.66) (0.65) 0.47) 0.63) Diluted 2.02) 0.12) (0.66) (0.65) 0.46) 0.63) Net earnings (loss) per share after cumulative effect of change in accounting principle: 2 Basic 2.01) 0.12) (0.66) (0.65) 0.47) 0.63) Diluted 1.94) 0.12) (0.66) (0.65) 0.46) 0.63) Weighted average number of shares used in computing per share amounts (in thousands): Basic 46,810) 48,944) 49,170) 49,642) 51,540) 51,540) Diluted 48,703) 49,958) 49,170) 49,642) 51,858) 51,858) (1) For the convenience of the reader, Financial Highlights and Selected Comparative Financial Data for 2004 have been converted into U.S. dollars at the exchange rate of U.S. dollar per euro, which was the noon buying rate in New York City for cable transfers payable in euros at December 31, (2) The cumulative effect of change in accounting principle relates to the effect on prior years of the impact of the adoption of SEC Staff Accounting Bulletin 101. This Bulletin, effective as of January 1, 2000 sets forth guidelines on the timing of revenue recognition of sales. (3) Net working capital includes accounts receivable, inventories, other current assets, accounts payable, accrued expenses, advance payments from customers and deferred revenue. (4) Net debt includes long-term debt, convertible subordinated debt and notes payable to banks, less cash and cash equivalents. 3 ASMI ANNUAL REPORT 2004

6 ASM International ASM International N.V. ( ASMI ) is a leading global supplier of capital equipment, materials and processes for the semiconductor industry, and one of the few companies in the world offering integrated solutions for both front-end, wafer processing, and back-end, assembly and packaging markets. Our customers include the top semiconductor device manufacturers in the world. Mission and Business Focus Since its founding in 1968, the mission has been to meet our industry s demand for innovative production solutions, and provide customers with the most cost-effective technologies at the leading edge. The Company s goal for our industry-leading design concepts is to achieve superior quality production, low cost-of-ownership, ease of operation and maintenance, reliability, safety and system integration. ASMI dedicates its resources to the research, development, manufacturing, marketing and servicing of equipment and materials used in wafer processing, assembly and packaging of semiconductor devices, and in conducting our business with honesty and integrity, while creating long-term value for our shareholders. Equipment and Intellectual Property portfolio: Commitment to Leading-Edge The demand for smaller and smaller, yet more complex, integrated circuits and devices that operate at optimum speed and consume less power continues to drive our industry s evolution in materials, process and design. As these changes demand a migration to smaller line-widths beyond 90nm, to 65nm and below, our strategy has kept us one step ahead by aligning our portfolio with the industry and key customer roadmaps. Our equipment and Intellectual Property portfolio has expanded significantly over the years, through our commitment to leading-edge design, our extensive R&D programs, and selective strategic acquisitions. Trademarks ASM, the ASM International logo, A600 UHV, Advance, Aurora, Carbonspeed, Coppermine, Dragon, ECMP, Eagle, Epsilon, IDEALine, Levitor, LuminaCu, NuTool, Polygon, Pulsar, Rapidfire and Silcore are our registered trademarks. A400, A412, A4ALD, AD898, AD900, ALCVD, Atomic Layer CVD, Better Logic, Eagle60, ECMD, EmerALD, Harrier, Hummingbird, IDEALmold, New Technology, Pinnacle Gating System, RL-CMP, SmartBatch, SR900 and TwinEagle are our trademarks. The Process of Innovation is our service mark. Wafer Processing In front-end we have built upon the strength of our core wafer processing technologies: vertical furnaces, epitaxial reactors and PECVD platforms, offering 300mm tool sets across all product lines, and providing solutions for both Front-end-of-line (FEOL) transistor technologies and Back-end-of-line (BEOL) device interconnect technologies. Today ASMI s front-end product portfolio addresses all of the key areas driving the semiconductor industry s transition to smaller line widths to 65nm and beyond: silicon-on-insulator (SOI), Silicon Germanium (SiGe) and strained silicon, high-k gate stack, RTP, low-k dielectrics, copper interconnect, and atomic layer deposition (ALD) technologies, and holds a leading position in many of these markets. Assembly and Packaging In back-end, through our 54-percent-owned Hong-Kong based subsidiary, ASM Pacific Technology Ltd. ( ASMPT ), we have become the number one supplier of assembly and packaging equipment in the world, offering the most comprehensive leading edge portfolio serving the assembly and packaging markets. ASMPT provides a single source for state-of-the-art assembly processes, including die attach and 30-micron pad pitch wire bonding, wafer level stud bumping, inline molding for encapsulation and the IDEALine, the only fully integrated assembly, packaging and testing line in the industry. Global Operations With a global workforce of 8,260 employees, ASMI operates manufacturing facilities in the Netherlands, the United States, Japan, Hong Kong, China, Singapore and Malaysia, with design and development centers in Europe, North America and Asia, and sales and service operations worldwide. Corporate headquarters are in Bilthoven, the Netherlands. ASMI is traded on NASDAQ under the symbol ASMI, and on Euronext Amsterdam under the symbol ASM. ASMPT trades on the Hong Kong Exchanges under the code ASMI ANNUAL REPORT

7 ASMI PRODUCT PORTFOLIO: THE PROCESS OF INNOVATION ASMI earned its reputation for innovation by bringing to market technologies that provide our customers with a competitive edge. Each of our product lines is engineered to combine higher productivity and throughput, with lower energy consumption and cost-of-ownership, than other systems in the market today. Our principal front-end equipment portfolio includes: Epsilon -- The Epsilon single wafer epitaxial reactors form a film on the wafer surface by applying CVD at high temperatures. Our installed base of Epsilon is currently used for epitaxy of silicon, SiGe alloys, and strained silicon. Some of these layers are used in SOI structures (Silicon on Insulator), a power saving technology and SiGeC (Silicon- Germanium-Carbon), a speed enhancement technology. Advance 400 Series -- Our vertical furnace line features 300mm tools for diffusion, oxidation, CVD, LPCVD or ALD processing. The new A412 SmartBatch offers short cycle time and flexible batch size. Eagle and Dragon -- The Eagle reactors deposit films on wafers using plasma in a CVD process and are most often used for depositing insulators, such as silicon oxide, silicon nitride and our Aurora low-k dielectric film used in interconnect circuits. The Dragon 2300 provides PECVD capability at a lower cost and smaller foot print, for selected applications. Levitor -- With its unique floating wafer concept, the Levitor, our rapid thermal processing system for 200mm and 300mm wafers, uses one tenth of the power of conventional lamp systems, offering greatly improved performance at low temperatures. Polygon -- The Polygon single wafer cluster systems can be used with one or more Pulsar or EmerALD modules for atomic layer deposition. Our ALCVD process on the Polygon is the world s leading high-k dielectric process in production. Our PEALD, a plasma-enhanced ALD technology is a complementary technology that can be used in both transistor and capacitor applications, as well as barrier and seed layers in the interconnect. LuminaCu -- Our solution for planar copper deposition, the LuminaCu is designed for copper plating or Electrochemical Deposition, Electrochemical Mechanical Deposition, and Electrochemical Mechanical Polishing in interconnect structures. Our principal back-end equipment portfolio includes: Leadframes -- Our etched and stamped leadframes can be tailored to all configurations. ASMPT is one of the pioneers in QFN leadframes. Die Bonders -- Our die bonder platforms address both the semiconductor and optoelectronic markets, and include new high-speed flip chip die bonders, the AD900 series, for integrated circuit applications. Wire Bonders -- ASMI s thermosonic bonders feature the award-winning Eagle family of gold wire bonders, including the new TwinEagle, and the Harrier, capable of 2-in-1 mixed wire bonding, and the Hummingbird, a wafer level stud bumping system. The IDEALmold -- Our standalone or inline molding system, for a variety of encapsulation capacities. High Speed Trim and Form Machines -- Capable of adapting to various package configurations. Test Handlers -- Featuring carrier-based parallel testing for BGA, QFN, QFP and other leading-edge applications. The IDEALine -- Our cost-effective factory automation solution for the test, assembly and packaging industry. This flexible, modular system offers significant cycle time reduction by integrating all back-end processing steps, from die and wire bonding through post mold curing, and trim and form. 5 ASMI ANNUAL REPORT 2004

8 ASM International (continued) ASMI CORPORATE ACHIEVEMENTS In 2004, ASMI further established its technology leadership among the top suppliers of semiconductor equipment with one of the most competitive leading edge portfolios in the industry, addressing the edge of evolution in new materials, processes and scaling requirements, and serving both the wafer processing and assembly and packaging segments. Milestones in Wafer Processing Maintained our market leadership with our Epsilon with the largest installed base in SiGe epitaxy; won new business in 300mm Strained Silicon, installing a fleet of epi tools for high volume manufacturing at 90nm, with additional wins in 65nm. Announced a breakthrough in Silicon on Insulator SOI/300mm resulting from our ongoing development program with Soitec, and produced the first industrial manufactured 300mm strained SOI wafers. Won two high volume production customers for our Eagle 12 single wafer PECVD system and our Aurora low-k film, and qualified for 65nm at a major semiconductor supplier. Introduced a breakthrough complementary technology - BCB pore sealing process - in partnership with a major semiconductor manufacturer, enabling further HVM solutions with lower k-values. Led the industry in high-k gate and capacitor applications, winning five new accounts for high-k gate stack applications with our Polygon platform and ALCVD and PEALD processes for customers in the U.S. and Asia. Gained traction with our Levitor RTP 200mm and 300mm tools, winning new customers in Japan and the U.S. and follow-on orders in the U.S. Penetrated new customers with our A mm furnace in Asia, multiple repeat orders in the U.S. and Europe, and significant orders for the furnaces of a new U.S. fab. Expanded the furnace line with our A412 SmartBatch variable load system, which won multiple system orders. Leadership in Assembly and Packaging ASMPT, our 54% owned back-end subsidiary, maintained its lead as the world s largest supplier of assembly and packaging equipment, once again outperforming its competitors with its successive record of profitability. Gained market share with the award-winning Eagle 60 gold wire bonder with strategic customer wins: three leading U.S. global suppliers, including a top five IC maker, in addition to a major order from China. Won a world-class customer for our new solder ball placement system, and two new customers for its first generation turret type test handlers. Introduced Leading Edge Products including: - Twin Eagle wire bonder, with 35 microns capability, featuring two bonding heads, doubling the output, offering the highest throughput and best cost ownership in world. - Eagle 60 AP, capable of 30 microns pad pitch wire bonding, and 10% faster output than the Eagle. - Flip chip bonder for low input/output (I/O) devices, using gold stud bumps and a thermosonic attachment process. - Lens attachment machine for image sensor devices completing our manufacturing solution for image sensor devices. ASMI ANNUAL REPORT

9 Strategic Initiatives Completed two strategic technology acquisitions in 2004, NuTool in June and Genitech in August, bringing on board key leading edge processes complementing our FEOL and BEOL programs in ALD, and our BEOL programs in copper interconnect. Insured sufficient refinancing for our convertible subordinated notes, due November 2005, with a new issue offering lower interest and a higher conversion price, a private placement of US$ 150 million of 4.25% convertible subordinated notes, due December Notes are convertible into ASMI common shares at a conversion price of US$ per share, and were listed on Euronext Amsterdam in December Launched our Singapore-based front-end manufacturing facility, ahead of schedule, rolling out our first vertical furnace subassemblies, tested in Almere in the third quarter of Streamlined our leadframe cost structure in the back-end with the construction of a new plant in Malaysia, with production at the Johor Bahru facility commencing the first quarter of For more information, please visit our company websites at and 7 ASMI ANNUAL REPORT 2004

10 Letter to Shareholders Although 2004 was a very good year for the semiconductor equipment industry, it was subject to abrupt shifts in demand. In a year where the industry accelerated rapidly then took a 180-degree turn midyear, ASMI realized gains from both device manufacturers capacity expansions, and the transition to new processes, materials and the larger, 300-millimeter wafer size. Overall, ASMI made substantial progress during the year. Our front-end, wafer processing, operations held a steady course that proved to be less tied to the cycle and more driven by the momentum building for our advanced technology tool sets that address 90nm and 65nm semiconductor process nodes. Our 54%-owned back-end subsidiary, ASM Pacific Technology Ltd. ( ASMPT ), the world s largest supplier of assembly and packaging equipment, achieved record revenue for the first half-year. With a broad range of advanced products for diversified applications markets and a lean cost structure, our backend operations once again proved resilient to industry fluctuations even as the downturn softened demand in the second half-year. After two years resulting in losses, 2004 was a year of recovery which resulted in a consolidated Net Profit of H 24.0 million. ASMI experienced increased order levels in the second half of 2003 and the first half of 2004 which resulted in increased sales levels and a consolidated Net Profit of H 19.0 million for the first half of The order intake and sales weakened in the second half of 2004 which resulted in a consolidated Net Profit of H 5.0 million for the second half of Leading Edge Product Portfolio Over the past few years, the transition to more cost-effective 300mm wafers, and the scaling to smaller semiconductor geometries that can improve device functionality have provided ASMI with an enormous opportunity. We have capitalized on that opportunity by introducing new processes and materials that provide solutions for both Front-end-of-line (FEOL), or transistor formation, and Back-end-of-line (BEOL), or interconnect systems. These technologies include: Silicon on Insulator (SOI), strained silicon, SiGe and SiGeC epitaxy, and high-k and low-k dielectrics. With these innovative solutions, we believe ASMI now has one of the most competitive leading edge portfolios in the industry. Through our early wins in 300mm, we broadened our product relationships with the world s leading chip manufacturers. We clearly saw results from this strategy in 2004 as industry exposure for all of our wafer process tool sets reached the highest level in our Company s history. During 2004, 64% of our front-end equipment orders and 60% of revenues were for 300mm systems. At the same time, the majority of these orders was generated by demand for 90nm and 65nm equipment and processes. Our front-end product portfolio addresses a deposition sector of the semiconductor equipment market, valued at approximately US$ 9 billion according to VLSI Research. Within that market, we are a significant player with our Epsilon epitaxial reactors used for strained silicon, SiGe and SiGeC, and SOI, our Polygon for ALD high-k gate dielectrics, the Levitor for RTP, our dual-reactor 300mm vertical furnaces, and the Eagle family of plasma enhanced CVD systems for the deposition of our Aurora low-k materials. We also extended our addressable market through the acquisitions of NuTool and Genitech, providing capabilities in electrochemical deposition, CMP, and PEALD. ASMPT achieved profitability for the 29th consecutive year, a record unmatched in its sector, and solidified its position as the number one supplier of stand-alone and integrated systems to the US$ 3.6 billion assembly market. At the same time, our back-end operation launched multiple products, breaking technology barriers and reducing cost-of-ownership for a broad range of customers and applications. For our largest back-end market segment, we introduced a TwinEagle wire bonder, a new model gold wire bonder - the Eagle 60 AP with cutting edge 30-micron pad pitch capability, and a new 30%-faster die bonder for the smaller integrated circuit die market. We also made solid progress with our three flip-chip bonders, an in-line system for image sensor devices, and integrated test handlers for encapsulation processes. Structural Change with Concentration in Asia We achieved one of our primary strategic goals this year when our new Singapore wafer-processing equipment manufacturing facility came on line with the first generic parts production. In addition to significantly reducing manufacturing costs for specific front-end equipment, our new Singapore facility, with a campus adjoining our established back-end facilities, strengthens ASMI s strategic presence in Asia, the fastest-developing region in the world for the semiconductor industry. We were well ahead of schedule when our first generic A412 vertical furnace produced in the Singapore plant was successfully assembled and tested in our Almere, Netherlands facility in the third quarter of Our plan is for all generic parts of our vertical furnaces to be made in Singapore by the second half of 2005, with our first Epsilon epitaxy generic systems ready for shipment from Singapore by mid ASMI ANNUAL REPORT

11 Our back-end operations have been adding Asian facilities to its Hong Kong headquarters for the past 15 years. In 2004, ASMPT consolidated its Malaysian leadframe and subassembly operations in a new location in that country, further streamlining its already low-cost manufacturing structure. Successful Financial Placement Late in the year, we placed US$ 150 million of convertible subordinated notes and listed these debentures on Euronext Amsterdam. The notes were issued to ensure that we have sufficient liquidity to deal with the maturity of the US$ 115 million 5% convertible subordinated notes, which are due November The terms of the recent offering, a 4.25% interest rate, and a conversion price of US$ per share, are more favorable than the earlier issue, with a 5% coupon, and a US$ per share conversion price. We are confident that this early refinancing initiative strengthens our financial position as we enter 2005, and allows us to focus on efficiencies in our worldwide manufacturing organization and other cost-reduction programs. Partnering Effort Highlights In addition to our ongoing internal research and development programs, we continued to strengthen our external programs with international research consortia and partnering efforts with major customers. These programs are integral to accelerating the development and commercialization of new technologies in a cost-effective manner. In 2004, we introduced a breakthrough process, a Plasma BCB Polymerization Technology, which we developed jointly with a major semiconductor chip manufacturer. This process, available on our established Eagle PECVD system, enables the integration of most industry-developed, next-generation, porous low-k insulator materials in copper interconnect structures. Targeted for the 65nm manufacturing process, this technology has already been proven at our customer as a Pore Sealing Process. Based on the recent successes realized through our partnership with France s Soitec Group, we have reiterated our commitment to further develop commercially viable, next-generation strained Silicon-on-Insulator (ssoi) products with Soitec. Our two-year partnership has already spawned the first industrially manufactured 300mm ssoi wafers, taking the project beyond the R&D stage, and enabling the use of these wafers for devices at the 45nm node. Extending a relationship that began a decade ago, we entered a 3-year strategic partnership with IMEC, Europe s leading independent nanotechnology research center, for its 300mm, 45nm and 32nm related technology development programs. Corporate Governance At ASMI, we are committed to upholding the highest standards in corporate governance and ethics practices. We believe our numerous internal policies and procedures provide structure for the operation of ASMI that is consistent with the best interests of our shareholders and clients, as well as the requirements of the law. We abide by the corporate governance rules of the United States as outlined by the NASDAQ stock market and the U.S. Securities and Exchange Commission as mandated by the Sarbanes-Oxley Act of 2002, and the Dutch Corporate Governance Code issued in the Netherlands in December 2003 by the Dutch Committee on Corporate Governance. A complete dossier of our policies and practices in this regard is available on ASMI s website, We encourage you to visit this resource. Employee Contributions We would like to acknowledge the dedication and meaningful contributions of all our employees, 8,260 worldwide. It is their individual and combined efforts that enable us to provide the technological expertise and superior service that customers expect from the global leadership of ASMI. We commend each one s commitment to ASMI s mission, to meet the semiconductor industry demand for capital intensive production solutions with design concepts that emphasize superior quality production, low cost-of-ownership, ease of operation and maintenance, reliability, safety and system integration. 9 ASMI ANNUAL REPORT 2004

12 Letter to Shareholders (continued) On Track for Sustained Growth We enter 2005 with optimism in our outlook for sales levels, particularly for our wafer processing equipment. While there is nothing certain about the effect of global economic and market forces on demand for products, processes and services in our industry, our optimism is based on our success in recent years in tracking both the ITRS and major customer roadmaps, which we believe, is leading ASMI to a new era of performance capability, enabling us to achieve stable growth at continuously higher levels, and at the same time be more resilient to the industry s cycles. In 2005, we will also realize the first contributions from our new Singapore facility, improving our cost effectiveness, and strengthening our gross margins. And finally, we remain confident that ASMPT will continue to increase its market position and its historical pattern of outperforming the industry, regardless of the semiconductor cycle stage. We believe the combined thrust in front-end, with the historical success we have had in back-end, portends well for ASMI s performance in 2005 and beyond. Arthur H. del Prado President and Chief Executive Officer Bilthoven, February 24, 2005 ASMI ANNUAL REPORT

13 11 ASMI ANNUAL REPORT 2004

14 Report from the Supervisory Board Financial Statements We herewith present to you the 2004 Annual Report and Annual Accounts on Form 20-F in accordance with US GAAP as prepared by the Management Board and reviewed by the Supervisory Board. Deloitte Accountants, our independent auditors, have audited these Annual Accounts and issued an unqualified opinion. Their report appears on page F-2 of the Form 20-F. Supervision The supervision over the policy decisions and actions of the Management Board is entrusted to the Supervisory Board, which, in the two tier structure prescribed by Dutch law, is a separate body and completely independent from the Management Board. Meetings of the Supervisory Board The Supervisory Board has held seven meetings with the Management Board during the year 2004, a year in which the Company returned to profitability, after two years with a negative bottom-line. During these meetings we discussed and reviewed the Company s overall strategy, the ongoing operations, the business risks, market developments, organization, management and financial performance. In detail we discussed the developments of the Company also per product line, the plan to start front-end manufacturing in Singapore, the additional steps to improve front-end profitability, the acquisitions of NuTool Inc. in California, U.S.A. and Genitech Inc. in South Korea, the issuance of a new US$ 150 million new convertible subordinated note due December 2011, the implementation of new Corporate Governance requirements, as well as other operational and strategic issues. The Supervisory Board met once, without the Management Board present, to discuss the functioning of the Supervisory Board and its individual members; the relationship with the Management Board; the performance and composition of the Management Board as well as performance of its individual members. Corporate Governance As already mentioned in the 2003 Annual Report, the Supervisory Board is amongst others responsible for monitoring compliance with corporate governance standards and best practices in the United States and the Netherlands. In this context the Board reviewed the impact on the Company from the Sarbanes-Oxley Act of 2002 and the Dutch Corporate Governance Code of December The Supervisory Board believes that ASMI complies with the currently prevailing requirements of the Sarbanes-Oxley Act and the principles and best practice provisions of the Dutch Corporate Governance Code, apart from those mentioned, in the more detailed description, further in this Annual Report. Supervisory Board Composition At the Annual General Meeting of Shareholders on May 10, 2004 Mr. J.M.R. Danneels has been reappointed to the Supervisory Board. At the forthcoming General Meeting of Shareholders Messrs. P.C. van den Hoek and A. Baan will retire by rotation from the Supervisory Board. Mr. Baan has indicated that he is not available for reappointment. In view thereof and upon our urgent request, Mr. van den Hoek has reconsidered his original decision to resign from the Supervisory Board. We are pleased to announce that he is available for reappointment and that it is our intention to reappoint Mr. van den Hoek as chairman of the Supervisory Board. We are of the opinion that, although he has served more than twelve years on the Supervisory Board, his critical and independent judgments on matters concerning ASMI and its boards has not suffered from his long time affiliation with ASMI. It is intended to appoint Mr. L.P.E.M. van den Boom as new member to the Supervisory Board. Proposals to effectuate these changes will be made to this year s Annual General Meeting of Shareholders. The Supervisory Board thanks Mr. Baan for his valuable contribution over the past years. His insights into the industry have greatly helped the Board to reach its decisions during these years. At the same time the Board looks forward to an intensive and fruitful cooperation with Mr. van den Boom. Mr. P.C. van den Hoek as partner of Stibbe, our Dutch outside legal counsel, is not considered to be independent under the Dutch Corporate Governance Code, all other members are considered to be independent under the NASDAQ regulations and the Dutch Corporate Governance Code. ASMI ANNUAL REPORT

15 Supervisory Board Committees Audit Committee In the year we adjusted the existing Audit Committee charter to bring it into line with the new requirements set out in the Dutch Corporate Governance Code as well as NASDAQ Marketplace rule 4350(d) and 4200(a). The Audit Committee consists of Mr. den Hoed (Chairman), Mr. Baan and Mr. van Amerongen. All members of the Audit Committee are independent and members of the Supervisory Board. Members of the Supervisory Board are non-executives. The Audit Committee of the Supervisory Board has held three meetings with the Management Board and Deloitte Accountants, the Company s independent auditors. The Company s internal control systems, the progress on implementing the Sarbanes- Oxley 404 requirements and the IFRS conversion, the Company s tax position, the application of the accounting principles, the appointment of Deloitte Accountants, the audit performed and its findings, as well as the Annual Report and Annual Accounts as prepared by the Management Board, were discussed. The Audit Committee of the Supervisory Board met once with Deloitte Accountants, without the Management Board present, to discuss the audit scope and approach, independence of Deloitte Accountants and the performance of Deloitte Accountants. Nomination, Selection and Remuneration Committee The role of the Nomination, Selection and Remuneration Committee is described in its Charter. This committee advises the Supervisory Board on matters relating to the selection and nomination of new Management Board members as well as the remuneration policy of the members of the Management Board. This committee consists of Mr. van Amerongen (Chairman), Mr. Danneels and Mr. van den Hoek. All members of the Nomination, Selection and Remuneration Committee are members of the Supervisory Board. Members of the Supervisory Board are non-executives. The Nomination, Selection and Remuneration committee proposed a general increase in the remuneration of the Supervisory Board, which was approved by the shareholders in the 2004 Annual General Meeting of Shareholders. On an annual basis, the Nomination, Selection and Remuneration Committee reports to the Supervisory Board on the application of the Remuneration Policy in the past year and the Remuneration Policy for the following years. The remuneration of the members of the Management Board is disclosed in Item 6.B Directors, Senior Management and Employees - Compensation and in Note 26 Board Remuneration of the Annual Report on Form 20-F. The remuneration of the members of the Management Board during 2004 is not fully in accordance with the Remuneration Policy yet. Deviations are mainly due to the fact that elements of remuneration were agreed upon with individual members of the Management Board prior to finalization and acceptance of the current Remuneration Policy. ASMI attempts to achieve full compliance with the Remuneration Policy within a period of 3 years. The Remuneration Policy for the Management Board shall be submitted to the 2005 Annual General Meeting of Shareholders for discussion and adoption. Word of Thanks We thank the staff of the Company for their commitment and support in a year where significant progress was made with the improvement of front-end performance and in which ASM Pacific Technology s continued profitability made it possible for the Company to record a consolidated Net Profit of H 24.0 million, thus ending a difficult two year period. These improvements continue to be based on further acceptance from customers for ASM International N.V. s leading technology solutions. Supervisory Board, P.C. van den Hoek, Chairman E.A. van Amerongen A. Baan J.M.R. Danneels J. den Hoed Bilthoven, the Netherlands February 24, ASMI ANNUAL REPORT 2004

16 Corporate Governance As we are listed on NASDAQ as well as on Euronext Amsterdam, we are required to comply with several corporate governance requirements and best practices set out by NASDAQ, the U.S. Securities and Exchange Commission ( SEC ), Euronext Amsterdam and the code issued in December 2003 by the Dutch Committee on Corporate Governance ( Dutch Corporate Governance Code ). Dutch Corporate Governance Code The Dutch Corporate Governance Code has been incorporated by reference in Dutch corporate law (through section 2:391 sub 4 and 5 of Dutch Civil Code) pursuant to a Royal Decree dated December 23, The Decree is effective as of December 31, The Decree applies to our Annual Accounts for the year ended December 31, The Dutch Corporate Governance Code contains principles and best practices for Dutch companies with listed shares. We endeavor to apply the Dutch Corporate Governance Code fully. Our corporate governance policies with respect to the implementation of the Dutch Corporate Governance Code were discussed with our shareholders at the 2004 Annual General Meeting of Shareholders. The Management Board and the Supervisory Board have taken these discussions into account in formulating a position on ASMI s corporate governance. The Dutch Corporate Governance Code requires companies to either comply with the best practice provisions of the Code or to explain why they deviate from these best practice provisions. We currently comply with the principles and best practice provisions of the Dutch Corporate Governance Code, except for the following: Management Board terms. Future new appointments to the Management Board will follow the recommended 4 year term. Existing appointments, which run for an indefinite period of time, will not be amended. Reporting of trading in Dutch listed companies. The members of our Supervisory Board and Management Board are aware of the limitations under Dutch and U.S. law that apply to trading in listed securities when one is in the possession of material non-public information. We believe that additional quarterly internal reporting by these persons of all trading in securities of all Dutch listed companies would be a significant additional administrative burden without eliminating effectively the possibility of misuse. We have therefore made our Insider Trading policy as well as our Supervisory Board rules even more explicit on this topic to reflect the spirit of this requirement, but we do not intend to start a formal additional quarterly reporting system. We will amend our Management Board rules accordingly. Maximum severance for Management Board members. In general, we agree with the Dutch Corporate Governance Code that in most circumstances a maximum severance payment of one year for Management Board members is appropriate. However, we want to reserve the right to agree to different amounts in case we deem this to be required by the circumstances. Any deviations will be disclosed to the Annual General Meeting of Shareholders. Limitation on Supervisory Board memberships. It is expected that as of July 1, 2005, none of the members of the Supervisory Board will hold more Supervisory Board memberships than recommended by the Dutch Corporate Governance Code. Shareholders meeting record date. We foresee a practical problem in harmonizing the prevailing U.S. and Dutch practices with regard to the registration or record date for determining the shareholders who are entitled to vote at a shareholders meeting. Best practice provision IV.1.7 of the Dutch Corporate Governance Code provides that Dutch listed companies should determine a record date for the exercise of voting rights by shareholders at a general meeting. Pursuant to section 2:119 sub 2 of Dutch Civil Code such record date may not be set earlier than 7 days prior to the meeting. However, the U.S. corporate law requirement, and the universal practice among publicly traded U.S. corporations, is to establish a record date well in advance of the meeting date for purposes of determining the shareholders entitled to notice of, and to attend and vote at, such meeting. Because these ASMI ANNUAL REPORT

17 practices cannot be reconciled, we have not yet introduced a record date for our shareholder general meetings. However, at our Annual General Meeting of Shareholders in 2005, we intend to propose the adoption of a record date for our 2006 Annual General Meeting of Shareholders to harmonize to the extent practicable the Dutch and U.S. corporate governance practices. Webcast of analyst meetings. We intend to announce and cause to be webcast only presentations to larger groups of analysts and/or investors. We believe it is not feasible in practice to treat one-on-one or small group meetings in the same manner. It is our policy, however, that no non-public material information will be provided during such meetings. We will closely follow the developments and discuss with other companies subject to the Dutch Corporate Governance Code, how to best address the above as well as other areas of the Dutch Corporate Governance Code. At the Annual General Meeting of Shareholders in 2005 we will consult our shareholders on our approach regarding the implementation of the Dutch Corporate Governance Code. Management Board The members of the Management Board are appointed by the General Meeting of Shareholders from a nomination for every vacancy, to be drawn up by the Supervisory Board. The members of the Management Board collectively manage the Company and are responsible for its performance. They are jointly and individually accountable for all decisions made by the Management Board. Supervisory Board The Supervisory Board is currently composed of five members who are appointed in the same way as the members of the Management Board. The Supervisory Board supervises the policy of the Management Board and the general course of our business and the management actions relating thereto. The Supervisory Board is supplied in a timely manner by the Management Board with all information that it requires for the performance of its task. The Supervisory Board has drawn up the following profile for its own composition. The board shall consist of at least three members. The members should operate independently of and critically with regard to each other, within a good relationship of mutual trust. They should be experienced in the management of an international, publicly listed company and have sufficient time available to fulfill the function of Supervisory Board member. The Supervisory Board members appoint a chairman from amongst their midst. In order to more efficiently fulfill its role, the Supervisory Board has created the following committees: Audit Committee. This committee has a supervisory task with regard to monitoring the integrity of our financial reports and risk management. The Audit Committee consists of Mr. den Hoed (Chairman), Mr. Baan and Mr. van Amerongen. The committee s charter is available on our website. The Audit Committee supervises the activities of the Management Board with respect to: the operation of the internal risk management and control systems, including supervision of the enforcement of the relevant legislation and regulations; our release of financial information; compliance with recommendations and observations of external auditors; our policy on tax planning; relations with the external auditor, including, in particular, its independence, remuneration and any non-audit services performed for us; our financing; the applications of information and communication technology (ICT). 15 ASMI ANNUAL REPORT 2004

18 Corporate Governance (continued) As recommended by the Sarbanes-Oxley Act and the Dutch Corporate Governance Code, we intend for the Audit Committee to include at least one Financial Expert, who must have in-depth experience and knowledge of financial statements, international accounting principles and internal controls and procedures for financial reporting. The Supervisory Board has concluded that Mr. den Hoed meets these requirements. Nomination, Selection and Remuneration Committee. This committee advises the Supervisory Board on matters relating to the selection and nomination of new Management Board members as well as the remuneration policy of the members of the Management Board. This committee consists of Mr. van Amerongen (Chairman), Mr. Danneels and Mr. van den Hoek. The objective of the remuneration policy is twofold: to create a remuneration structure that will allow ASMI to attract, reward and retain qualified executives who will lead ASMI in achieving its strategic objectives and to provide and motivate these executives with a balanced and competitive remuneration. The remuneration structure includes five elements: base salary, annual incentive (bonus), long term incentive (stock options), pension and other arrangements. The remuneration structure mirrors short-term and long-term elements of the responsibilities of members of the Management Board. The Nomination, Selection and Remuneration Committee ensures that a competitive remuneration structure is provided by benchmarking with other multinational companies of comparable size and complexity operating in comparable geographical and industrial markets. Benchmark research is carried out on an annual basis. The Nomination, Selection and Remuneration Committee evaluates the achievement of performance criteria specified per Management Board member and recommends to the Supervisory Board with respect to the level of remuneration resulting from this evaluation. On an annual basis, the Nomination, Selection and Remuneration Committee reports to the Supervisory Board on the application of the Remuneration Policy in the past year and the Remuneration Policy for the following years. Internal Risk Management and Control Framework The Management Board is responsible for designing, implementing and operating an adequate functioning Internal Risk Management and Control Framework in the Company. The purpose of this Framework is to identify and manage the risks to which the Company is exposed, to ensure realization of the Company s objectives, to ensure reliable financial reporting and to ensure compliance with laws and regulations. The Company adopted an Internal Risk Management and Control Framework, derived from the COSO framework developed by the Committee of Sponsoring Organizations of the Treadway Commission (1992). Our Internal Risk Management and Control Framework has the following key components: Planning and Control Cycle. The planning and control cycle consists of an annual business plan, quarterly forecasts, quarterly operational reviews and monthly financial reporting, including monthly reviews with the management of the operating units. Policies and Procedures. We have implemented financial and non-financial policies and procedures to ensure control by the Management Board over the Company s operations. Code of Ethics. We have developed a Code of Ethics applicable to all of our employees which is available on our website and is filed as Exhibit 11.1 to the Annual Report on Form 20-F. The Code of Ethics, which is also applicable to our CEO, CFO and controllers, includes specific provisions designed to promote honest and ethical conduct and timely and accurate disclosure in our periodic financial reports. ASMI ANNUAL REPORT

19 Whistleblower Procedure. In early 2004 we implemented a whistleblower policy to ensure that any irregularities can be reported to the Management Board and/or the Supervisory Board without any fear of reprisal against the individual that reports the irregularity. This policy is also available on our website. Business Risk and Internal Control Self Assessment. All managing directors and finance directors of our subsidiaries annually sign a detailed assessment with regard to financial reporting, internal controls and ethical principles, as well as a letter of representation. Disclosure Controls and Procedures. We have established a Disclosure Committee to advise and make recommendations to our CEO and CFO relating to their certification obligations under Section 302 of the Sarbanes-Oxley Act. The Disclosure Committee obtains information for its recommendations from the operational and financial reviews, the letter of representation and assessments performed by the management of our subsidiaries and input from risk management activities during the year. Internal Controls. We are currently expanding our risk management policies, internal control documentation and assessment of such internal controls to provide further assurance regarding the reliability of our financial reporting, also because we have to comply with Section 404 of the Sarbanes-Oxley Act ( SOX 404 ) in the nearby future. Beginning with our Annual Report on Form 20-F for the year ending December 31, 2006, we will be required to conduct an internal assessment of our internal controls over financial reporting, which must be attested by our independent registered public accounting firm. Accordingly, we are documenting, evaluating, and expanding as necessary our internal control systems over financial reporting to enable us to comply by December 31, Our Internal Risk Management and Control Framework can only provide a reasonable level of assurance regarding realization of the Company s objectives, reliability of financial reporting, prevention of fraud and compliance with laws and regulations. The Management Board, to the best of its knowledge and belief, is of the opinion that the Company s Internal Risk Management and Control Framework has operated effectively in 2004 and no significant changes have occurred since December 31, General Meeting of Shareholders A General Meeting of Shareholders is held each year to discuss the Annual Report of the Management Board about the affairs of the Company and to adopt the Annual Accounts. Extraordinary meetings will be held as often as the Management Board or the Supervisory Board deems this desirable. In the General Meeting of Shareholders, each ordinary share with a nominal value of H 0.04 entitles the holder to cast one vote, each financing preferred share with a nominal value of H entitles the holder to cast one thousand votes and each preferred share with a nominal value of H entitles the holder to cast one thousand votes. Presently there are no financing preferred shares or preferred shares outstanding. The powers of the General Meeting of Shareholders are defined in our Articles of Association, which are available on our website. The main powers of the shareholders are to appoint, suspend and dismiss the members of the Management Board and Supervisory Board, to adopt the financial statements, declare dividends, to discharge the Management Board and Supervisory Board from responsibility for the performance of their respective duties for the previous financial year, to appoint the external auditors, to adopt amendments to the Articles of Association, to issue shares and grant subscriptions for shares, to authorize the Management Board to issue shares and grant subscriptions for shares, to withdraw pre-emptive rights of shareholders upon issuance of shares, to authorize the Management Board to withdraw pre-emptive rights of shareholders upon issuance of shares, and to authorize the Management Board to repurchase or cancel outstanding shares. 17 ASMI ANNUAL REPORT 2004

20 ASMI ANNUAL REPORT

Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00

Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00 ASM International N.V. Contact : Robert L. de Bakker, + 31 30 229 85 40 Mary Jo Dieckhaus, + 1 212 986 29 00 ASM INTERNATIONAL REPORTS FINAL FOURTH QUARTER 2004 AND FULL YEAR 2004 OPERATING RESULTS Full

More information

ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS

ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS Almere, The Netherlands October 28, 2015 ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS ASM International N.V. (Euronext Amsterdam: ASM) today reports its third quarter 2015 operating results

More information

2005 Annual Report. The Process of Innovation SM. International

2005 Annual Report. The Process of Innovation SM. International 2005 Annual Report The Process of Innovation SM ASM International Contents 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 12 Report from the

More information

2006 Statutory Annual Report

2006 Statutory Annual Report 2006 Statutory Annual Report The Process of InnovationSM ASM International 2006 Statutory Annual Report CONTENTS 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter

More information

Howelliott.Com Is A Major Supplier Of Aeroceo

Howelliott.Com Is A Major Supplier Of Aeroceo Almere, The Netherlands March 4, 2015 ASM INTERNATIONAL N.V. REPORTS FOURTH QUARTER 2014 RESULTS ASM International N.V. (NASDAQ: ASMI and Euronext Amsterdam: ASM) reports today its fourth quarter 2014

More information

Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00

Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00 ASM International N.V. Contact: Naud van der Ven + 31 30 229 85 40 Mary Jo Dieckhaus + 1 212 986 29 00 Erik Kamerbeek + 31 30 229 85 00 ASM INTERNATIONAL REPORTS THIRD QUARTER 2008 OPERATING RESULTS BILTHOVEN,

More information

ASM CONTENTS. 3 Financial Highlights and Selected Comparative Financial Data. 4 ASM International. 8 Letter to Shareholders

ASM CONTENTS. 3 Financial Highlights and Selected Comparative Financial Data. 4 ASM International. 8 Letter to Shareholders CONTENTS 3 Financial Highlights and Selected Comparative Financial Data 4 ASM International 8 Letter to Shareholders 14 Report from the Supervisory Board 16 Corporate Governance 26 Corporate Information

More information

International ANNUAL REPORT 2011

International ANNUAL REPORT 2011 International ANNUAL REPORT 2011 ANNUAL REPORT 2011 Trademarks ASM, the ASM International logo, Advance, Aurora, Dragon, Eagle, EmerALD, Epsilon, Polygon, Pulsar and Silcore are our registered trademarks.

More information

2010 Annual Report. The Process of Innovation SM. ASM International

2010 Annual Report. The Process of Innovation SM. ASM International The Process of Innovation SM ASM International Trademarks ASM, the ASM International logo, Advance, Aurora, Dragon, Eagle, EmerALD, Epsilon, Polygon, Pulsar, Silcore and Stellar are our registered trademarks.

More information

ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS

ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS ASM International N.V. ASM INTERNATIONAL REPORTS FOURTH QUARTER 2009 AND FULL YEAR 2009 OPERATING RESULTS ALMERE, THE NETHERLANDS, February 24, 2010 - ASM International N.V. (NASDAQ: ASMI and Euronext

More information

2008 Annual Report. The Process of InnovationSM. International

2008 Annual Report. The Process of InnovationSM. International 2008 Annual Report The Process of InnovationSM ASM International 2008 Annual Report Trademarks ASM, the ASM International logo, Advance, Aurora, Carbonspeed, Coppermine, Dragon, Eagle, EmerALD, Epsilon,

More information

Second Quarter Results of Operations

Second Quarter Results of Operations PRESS RELEASE Besi Posts Strong Q2 and H1-15 Results. Significant Expansion of Net Cash Position vs. 14 Duiven, the Netherlands, July 23, - BE Semiconductor Industries N.V. (the Company" or "Besi") (Euronext

More information

ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter

ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter [For Immediate Release] ASMPT ANNOUNCES 2013 INTERIM RESULTS * * * Net Profits Surged 28 Times Over The First Quarter Highlights Second Quarter of 2013 Group turnover of US$367.1 million, a significant

More information

Intel Reports Second-Quarter Results

Intel Reports Second-Quarter Results Intel Corporation 2200 Mission College Blvd. Santa Clara, CA 95054-1549 CONTACTS: Mark Henninger Amy Kircos Investor Relations Media Relations 408-653-9944 480-552-8803 mark.h.henninger@intel.com amy.kircos@intel.com

More information

The items 3, 4, 5, 7, 8, a, b, c, 9, 11, 12 a, b, c, d, 13, 14, 15 and 16 on the agenda are items which will be put to the vote of the AGM.

The items 3, 4, 5, 7, 8, a, b, c, 9, 11, 12 a, b, c, d, 13, 14, 15 and 16 on the agenda are items which will be put to the vote of the AGM. EXPLANATORY NOTES TO THE AGENDA for the Annual General Meeting of Shareholders ( AGM ) of ASML Holding N.V. (the Company or ASML ) to be held on Wednesday March 28, 2007 The items 3, 4, 5, 7, 8, a, b,

More information

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML 2015 Third Quarter Results Veldhoven, the Netherlands Forward looking statements

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522)

ASM PACIFIC TECHNOLOGY LIMITED (Incorporated in the Cayman Islands with limited liability) (Stock Code: 0522) Hong Kong Exchanges and Clearing Limited and The Stock Exchange of Hong Kong Limited take no responsibility for the contents of this announcement, make no representation as to its accuracy or completeness

More information

Intel Reports Fourth-Quarter and Annual Results

Intel Reports Fourth-Quarter and Annual Results Intel Corporation 2200 Mission College Blvd. P.O. Box 58119 Santa Clara, CA 95052-8119 CONTACTS: Reuben Gallegos Amy Kircos Investor Relations Media Relations 408-765-5374 480-552-8803 reuben.m.gallegos@intel.com

More information

SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH QUARTER AND FISCAL YEAR 2007

SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH QUARTER AND FISCAL YEAR 2007 PRESS RELEASE INVESTOR CONTACT: Lisa L. Ewbank Synopsys, Inc. 650-584-1901 EDITORIAL CONTACT: Yvette Huygen Synopsys, Inc. 650-584-4547 yvetteh@synopsys.com SYNOPSYS POSTS FINANCIAL RESULTS FOR FOURTH

More information

BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal 2016

BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal 2016 April 1, FOR IMMEDIATE RELEASE BlackBerry Reports Software and Services Growth of 106 Percent for Q4 and 113 Percent for Fiscal Company reports positive free cash flow for eighth consecutive quarter and

More information

A n n u a l R e p o r t 2 0 0 4

A n n u a l R e p o r t 2 0 0 4 Annual Report 2004 Contents Contents Company Profile page 5 Letter to Shareholders page 10 Report of the Supervisory Board page 16 Corporate Governance page 23 Form 20 - F page 29 Corporate Information

More information

UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015

UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015 BE SEMICONDUCTOR INDUSTRIES N.V. DUIVEN, THE NETHERLANDS UNAUDITED CONDENSED INTERIM CONSOLIDATED FINANCIAL STATEMENTS FOR THE SIX MONTHS ENDED JUNE 30, 2015 Contents Unaudited Condensed Interim Consolidated

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Nine months ended, Sep 28, Sep 27, Sep 28, Sep 27, 2014 2015 2014 2015 Net system sales 884.5 975.3 3,157.5 3,356.3

More information

CORPORATE GOVERNANCE. I. Enforcement and application of the Code. The Board of Management

CORPORATE GOVERNANCE. I. Enforcement and application of the Code. The Board of Management CORPORATE GOVERNANCE Rood Testhouse International N.V. (hereinafter referred to as RoodMicrotec) considers the application of the Dutch Code of Corporate governance (hereinafter referred to as the Code)

More information

BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability

BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability NEWS RELEASE FOR IMMEDIATE RELEASE June 19, BlackBerry Reports 2015 Fiscal First Quarter GAAP Profitability Waterloo, ON BlackBerry Limited (NASDAQ: BBRY; TSX: BB), a global leader in mobile communications,

More information

ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016

ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016 - PRESS RELEASE - Contact: Katharina Manok ROFIN-SINAR 011-49-40-733-63-4256 - or - 734-416-0206 ROFIN-SINAR REPORTS RESULTS FOR THE FIRST QUARTER OF FISCAL YEAR 2016 Quarterly earnings per share increased

More information

Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results

Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results FOR IMMEDIATE RELEASE Diodes Incorporated Reports Record Fourth Quarter and Full Year 2005 Results Annual revenues up 15.6% to a record $214.8 million Annual net income increases 30.4% to a record $33.3

More information

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 For Immediate Release Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 ken.bond@oracle.com deborah.hellinger@oracle.com ORACLE

More information

TowerJazz Presents First Quarter 2011 Financial Results:

TowerJazz Presents First Quarter 2011 Financial Results: TowerJazz Presents First Quarter 2011 Financial Results: Revenue Up 6% Year-over-Year with 13% EBITDA Growth Ramping internal capacity and in advanced stage to purchase Micron s manufacturing facility

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Mar 30, Mar 29, 2014 2015 Net system sales 1,030.0 1,246.5 Net service and field option sales 366.5 403.4 Total net

More information

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 For Immediate Release Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 ken.bond@oracle.com deborah.hellinger@oracle.com ORACLE

More information

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2

ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 ASML - Summary IFRS Consolidated Statement of Profit or Loss 1,2 Three months ended, Six months ended, Jun 29, Jun 28, Jun 29, Jun 28, 2014 2015 2014 2015 Net system sales 1,243.0 1,134.5 2,273.0 2,381.0

More information

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 For Immediate Release Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 ken.bond@oracle.com deborah.hellinger@oracle.com ORACLE

More information

Ordina does not have a one-tier board. In view of the above, a limited number of the Code s best practices do not apply.

Ordina does not have a one-tier board. In view of the above, a limited number of the Code s best practices do not apply. CORPORATE GOVERNANCE STATEMENT This is a statement regarding corporate governance as meant in article 2a of the decree on additional requirements for annual reports (Vaststellingsbesluit nadere voorschriften

More information

PRINCIPLES FOR PERIODIC DISCLOSURE BY LISTED ENTITIES

PRINCIPLES FOR PERIODIC DISCLOSURE BY LISTED ENTITIES PRINCIPLES FOR PERIODIC DISCLOSURE BY LISTED ENTITIES Final Report TECHNICAL COMMITTEE OF THE INTERNATIONAL ORGANIZATION OF SECURITIES COMMISSIONS FEBRUARY 2010 CONTENTS Chapter Page 1 Introduction 3 Uses

More information

Baidu Announces Fourth Quarter and Fiscal Year 2011 Results

Baidu Announces Fourth Quarter and Fiscal Year 2011 Results Baidu Announces Fourth Quarter and Fiscal Year 2011 Results BEIJING, China, February 16, 2012 Baidu, Inc. (NASDAQ: BIDU), the leading Chinese language Internet search provider, today announced its unaudited

More information

Contact: Ken Bond Karen Tillman Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.650.607.0326

Contact: Ken Bond Karen Tillman Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.650.607.0326 For Immediate Release Contact: Ken Bond Karen Tillman Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.650.607.0326 ken.bond@oracle.com karen.tillman@oracle.com ORACLE REPORTS

More information

D.E MASTER BLENDERS 1753 N.V.

D.E MASTER BLENDERS 1753 N.V. UNAUDITED CONDENSED CONSOLIDATED INTERIM FINANCIAL STATEMENTS For the six months periods ended 31 December 2012 and 31 December 2011 TABLE OF CONTENTS UNAUDITED CONDENSED CONSOLIDATED INTERIM FINANCIAL

More information

OPTION REPORTS FULL YEAR 2013 RESULTS

OPTION REPORTS FULL YEAR 2013 RESULTS OPTION REPORTS FULL YEAR 2013 RESULTS Leuven, Belgium March 13, 2014 Option N.V. (EURONEXT Brussels: OPTI; OTC: OPNVY), a global leader in wireless connectivity, security and experience, today announced

More information

BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter

BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter FOR IMMEDIATE RELEASE June 23, BlackBerry Reports Strong Software Revenue and Positive Cash Flow for the Fiscal 2016 First Quarter Waterloo, ON BlackBerry Limited (NASDAQ: BBRY; TSX: BB), a global leader

More information

THIRD QUARTER 2015 RECORD RESULTS REPORTED BY AMPHENOL CORPORATION

THIRD QUARTER 2015 RECORD RESULTS REPORTED BY AMPHENOL CORPORATION Amphenol News Release World Headquarters 358 Hall Avenue P. O. Box 5030 Wallingford, CT 06492-7530 Telephone (203) 265-8900 FOR IMMEDIATE RELEASE For Further Information: Craig A. Lampo Senior Vice President

More information

Baidu Announces Second Quarter 2015 Results

Baidu Announces Second Quarter 2015 Results Baidu Announces Second Quarter 2015 Results BEIJING, China, July 27, 2015 Baidu, Inc. (NASDAQ: BIDU), the leading Chinese language Internet search provider, today announced its unaudited financial results

More information

Oracle Corporation (Exact name of registrant as specified in its charter)

Oracle Corporation (Exact name of registrant as specified in its charter) Table of Contents UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 FORM 8-K CURRENT REPORT Pursuant to Section 13 OR 15(d) of The Securities Exchange Act of 1934 Date of Report (Date

More information

Financial Reporting Matters

Financial Reporting Matters Financial Reporting Matters August 2005 Issue 7 A UDIT This issue of Financial Reporting Matters continues with the financial reporting implications of the Companies Amendment Act 2005 and discusses the

More information

CORPORATE GOVERNANCE CODE

CORPORATE GOVERNANCE CODE Contents PART I. THE BOARD OF DIRECTORS AND ITS MEMBERS... 2 PART II. OPERATIONAL RISK MANAGEMENT... 5 PART III. INTERNAL AUDIT FUNCTION... 6 PART IV. INVESTOR RELATIONS... 8 PART V. REMUNERATIONS... 10

More information

Baidu Announces Second Quarter 2014 Results

Baidu Announces Second Quarter 2014 Results Baidu Announces Second Quarter 2014 Results BEIJING, China, July 24, 2014 Baidu, Inc. (NASDAQ: BIDU), the leading Chinese language Internet search provider, today announced its unaudited financial results

More information

Tower International Reports Solid Third Quarter And Raises Full Year Outlook

Tower International Reports Solid Third Quarter And Raises Full Year Outlook FOR IMMEDIATE RELEASE Tower International Reports Solid Third Quarter And Raises Full Year Outlook LIVONIA, Mich., November 3, 2011 Tower International, Inc. [NYSE: TOWR], a leading integrated global manufacturer

More information

Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results

Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results Phoenix New Media Reports Second Quarter 2015 Unaudited Financial Results 2Q15 Mobile Advertising Revenues Up 124.2% YOY Live Conference Call to be Held at 9:00 PM U.S. Eastern Time on August 11 BEIJING,

More information

compared to the fourth quarter of 2006, the third quarter of 2007, and to the year ended

compared to the fourth quarter of 2006, the third quarter of 2007, and to the year ended FOR IMMEDIATE RELEASE CaNT ACT: Susan Conway Director of Investor Relations Cognex Corporation Phone: (508) 650-3353 Email: susan. conway((i).coanex. com COGNEX CORPORATION ANNOUNCES FOURTH QUARTER RESULTS

More information

QIAGEN N.V. Corporate Governance

QIAGEN N.V. Corporate Governance 118 QIAGEN N.V. Corporate Governance Corporate Governance 119 Corporate Governance Decl ar ation of Com pliance of Q IAGEN N.V. regarding the Ger m an Corpor ate Governance Code In QIAGEN s 2001 Annual

More information

NedSense enterprises n.v. Condensed consolidated Interim financial statements

NedSense enterprises n.v. Condensed consolidated Interim financial statements NED NEDSENSE enterprises n.v. NedSense enterprises n.v. Condensed consolidated Interim financial statements 30 June 2012 NedSense enterprises n.v. Half-year Report 2012 (unaudited) Report of the Board

More information

Microsoft Cloud Strength Highlights Second Quarter Results

Microsoft Cloud Strength Highlights Second Quarter Results Microsoft Cloud Strength Highlights Second Quarter Results Commercial cloud annualized revenue run rate exceeds $9.4 billion; Windows 10 active on over 200 million devices REDMOND, Wash. January 28, 2016

More information

How To Understand How Well-Run A Company Like Aerocean Does Well

How To Understand How Well-Run A Company Like Aerocean Does Well 3 rd Quarter 2014 Earnings Conference Call Transcript Overview*: BDC reported 3Q14 consolidated revenues of $613.1M, income from continuing operations of $50.4M and diluted EPS from continuing operations

More information

CORPORATE GOVERNANCE. Deviations from the Dutch corporate governance code

CORPORATE GOVERNANCE. Deviations from the Dutch corporate governance code CORPORATE GOVERNANCE Brunel International s understanding of corporate governance is based on applicable laws, the rules and regulations applicable to companies listed on the NYSE Euronext Amsterdam stock

More information

CLOUD SECURITY CORP.

CLOUD SECURITY CORP. SECURITIES & EXCHANGE COMMISSION EDGAR FILING CLOUD SECURITY CORP. Form: 10-Q Date Filed: 2015-10-09 Corporate Issuer CIK: 1516079 Copyright 2015, Issuer Direct Corporation. All Right Reserved. Distribution

More information

German Corporate Governance Code

German Corporate Governance Code (as amended on May 26, 2010) Government Commission German Corporate Governance Code 1. Foreword 1 This German Corporate Governance Code (the "Code") presents essential statutory regulations for the management

More information

*See note 4 to our Summary Financial Information table below concerning our current operational and reporting structure

*See note 4 to our Summary Financial Information table below concerning our current operational and reporting structure INTERIM REPORT 1(39) Nokia Corporation Interim Report for Q1 2014 FINANCIAL AND OPERATING HIGHLIGHTS First quarter 2014 highlights for continuing operations*: Nokia s non-ifrs diluted EPS in Q1 2014 of

More information

ASML - Summary US GAAP Consolidated Statements of Operations 1,2

ASML - Summary US GAAP Consolidated Statements of Operations 1,2 ASML - Summary US GAAP Consolidated Statements of Operations 1,2 (in millions EUR, except per share data) Six months ended, Jun 29, Jun 28, Jun 29, Jun 28, 2014 2015 2014 2015 Net system sales 1,243.0

More information

FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3.

FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3. FOSSIL GROUP, INC. REPORTS FOURTH QUARTER AND FISCAL YEAR 2014 RESULTS; Fourth Quarter Net Sales of $1.065 Billion; Diluted EPS Increases 12% to $3.00 Fiscal Year 2014 Net Sales Increase 8% to $3.510 Billion;

More information

PRESS RELEASE RELEASE DATE: February 24, 2015

PRESS RELEASE RELEASE DATE: February 24, 2015 5N Plus Inc. Reports Results for Quarter and Fiscal Year Ended December 31, 2014 PRESS RELEASE RELEASE DATE: February 24, 2015 Montreal, Québec, February 24, 2015 5N Plus Inc. (TSX:VNP), the leading producer

More information

How To Profit From A Strong Dollar

How To Profit From A Strong Dollar For Immediate Release MERCER INTERNATIONAL INC. REPORTS STRONG 2015 THIRD QUARTER RESULTS ANNOUNCES QUARTERLY CASH DIVIDEND OF $0.115 NEW YORK, NY, October 29, 2015 - Mercer International Inc. (Nasdaq:

More information

Makita Corporation. Consolidated Financial Results for the nine months ended December 31, 2007 (U.S. GAAP Financial Information)

Makita Corporation. Consolidated Financial Results for the nine months ended December 31, 2007 (U.S. GAAP Financial Information) Makita Corporation Consolidated Financial Results for the nine months ended (U.S. GAAP Financial Information) (English translation of "ZAIMU/GYOSEKI NO GAIKYO" originally issued in Japanese language) CONSOLIDATED

More information

RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS

RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS FOR IMMEDIATE RELEASE September 16, RESEARCH IN MOTION REPORTS SECOND QUARTER RESULTS Waterloo, ON Research In Motion Limited (RIM) (Nasdaq: RIMM; TSX: RIM), a world leader in the mobile communications

More information

Roche Finance Europe B.V. - Financial Statements 2013

Roche Finance Europe B.V. - Financial Statements 2013 Roche Finance Europe B.V. - Financial Statements 2013 0 Financial Statements 2011 Roche Finance Europe B.V. Management Report 1. Review of the year ended 31 December 2013 General Roche Finance Europe B.V.,

More information

INTEGRATED SILICON SOLUTION, INC. CORPORATE GOVERNANCE PRINCIPLES. Effective January 9, 2015

INTEGRATED SILICON SOLUTION, INC. CORPORATE GOVERNANCE PRINCIPLES. Effective January 9, 2015 INTEGRATED SILICON SOLUTION, INC. CORPORATE GOVERNANCE PRINCIPLES Effective January 9, 2015 These principles have been adopted by the Board of Directors (the "Board") of Integrated Silicon Solution, Inc.

More information

IBM REPORTS 2013 FOURTH-QUARTER AND FULL-YEAR RESULTS

IBM REPORTS 2013 FOURTH-QUARTER AND FULL-YEAR RESULTS IBM REPORTS 2013 FOURTH-QUARTER AND FULL-YEAR RESULTS Fourth-Quarter 2013: o Diluted EPS: - GAAP: $5.73, up 12 percent; - Operating (non-gaap): $6.13, up 14 percent; o Net income: - GAAP: $6.2 billion,

More information

FOR IMMEDIATE RELEASE

FOR IMMEDIATE RELEASE FOR IMMEDIATE RELEASE O-I REPORTS FULL YEAR AND FOURTH QUARTER 2014 RESULTS O-I generates second highest free cash flow in the Company s history PERRYSBURG, Ohio (February 2, 2015) Owens-Illinois, Inc.

More information

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 For Immediate Release Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 ken.bond@oracle.com deborah.hellinger@oracle.com ORACLE

More information

FOR IMMEDIATE RELEASE

FOR IMMEDIATE RELEASE FOR IMMEDIATE RELEASE For media inquiries, contact: Eric Armstrong, Citrix Systems, Inc. (954) 267-2977 or eric.armstrong@citrix.com For investor inquiries, contact: Eduardo Fleites, Citrix Systems, Inc.

More information

NEWS RELEASE MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS

NEWS RELEASE MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS NEWS RELEASE Contact: Stacy Feit Investor Relations Tel: 213-486-6549 Email: investor_relations@mflex.com MFLEX ANNOUNCES THIRD QUARTER 2015 FINANCIAL RESULTS Fifth consecutive quarter of strong profitability

More information

Management Discussion and Analysis For The 9 Months Ended, June 30 2015

Management Discussion and Analysis For The 9 Months Ended, June 30 2015 Management Discussion and Analysis For The 9 Months Ended, June 30 2015 The following discussion and analysis as of August 31, 2015 should be read in conjunction with the consolidated financial statements

More information

Corporate Governance. www.sinopec.com. Corporate Governance Fact Sheet

Corporate Governance. www.sinopec.com. Corporate Governance Fact Sheet Corporate Governance Corporate Governance Fact Sheet Board of Directors Responsibilities and Liability Composition Meetings of the Board of Directors Board Committees Supervisory Committee Role and Responsibilities

More information

China Distance Education Holdings Limited Reports First Quarter Fiscal Year 2016 Financial Results

China Distance Education Holdings Limited Reports First Quarter Fiscal Year 2016 Financial Results China Distance Education Holdings Limited Reports First Quarter Fiscal Year 2016 Financial Results - Revenue Up 13.5% Year-Over-Year to $24.4 Million, Exceeding Guidance - Cash Receipts From Online Course

More information

TECK RESOURCES LIMITED AUDIT COMMITTEE CHARTER

TECK RESOURCES LIMITED AUDIT COMMITTEE CHARTER Page 1 of 7 A. GENERAL 1. PURPOSE The purpose of the Audit Committee (the Committee ) of the Board of Directors (the Board ) of Teck Resources Limited ( the Corporation ) is to provide an open avenue of

More information

Mellanox Achieves Record Quarterly and Annual Revenue

Mellanox Achieves Record Quarterly and Annual Revenue PRESS RELEASE Mellanox Technologies, Ltd. Press/Media Contact Allyson Scott McGrath/Power Public Relations and Communications +1-408-727-0351 allysonscott@mcgrathpower.com Investor Contact Mellanox Technologies

More information

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935

Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 For Immediate Release Contact: Ken Bond Deborah Hellinger Oracle Investor Relations Oracle Corporate Communications 1.650.607.0349 1.212.508.7935 ken.bond@oracle.com deborah.hellinger@oracle.com CLOUD

More information

PAYCHEX, INC. REPORTS FOURTH QUARTER AND FISCAL 2015 RESULTS

PAYCHEX, INC. REPORTS FOURTH QUARTER AND FISCAL 2015 RESULTS PAYCHEX, INC. REPORTS FOURTH QUARTER AND FISCAL 2015 RESULTS July 1, 2015 FOURTH QUARTER AND FULL YEAR FISCAL 2015 HIGHLIGHTS Total service revenue increased 8% to $681.4 million for the fourth quarter;

More information

Third Quarter 2015 Financial Highlights:

Third Quarter 2015 Financial Highlights: DISCOVERY COMMUNICATIONS REPORTS THIRD QUARTER 2015 RESULTS, INCREASES BUYBACK AUTHORIZATION BY $2 BILLION AND ANNOUNCES RESUMPTION OF SHARE REPURCHASES BEGINNING IN FOURTH QUARTER 2015 Third Quarter 2015

More information

Performance Food Group Company Reports First-Quarter Fiscal 2016 Earnings

Performance Food Group Company Reports First-Quarter Fiscal 2016 Earnings NEWS RELEASE For Immediate Release November 4, 2015 Investors: Michael D. Neese VP, Investor Relations (804) 287-8126 michael.neese@pfgc.com Media: Joe Vagi Manager, Corporate Communications (804) 484-7737

More information

OPEN JOINT STOCK COMPANY AGENCY FOR HOUSING MORTGAGE LENDING. Agency for Housing Mortgage Lending OJSC INFORMATION POLICY GUIDELINES.

OPEN JOINT STOCK COMPANY AGENCY FOR HOUSING MORTGAGE LENDING. Agency for Housing Mortgage Lending OJSC INFORMATION POLICY GUIDELINES. OPEN JOINT STOCK COMPANY AGENCY FOR HOUSING MORTGAGE LENDING APPROVED: by decision of the Supervisory Council (minutes No 09 of 21 December 2007) Agency for Housing Mortgage Lending OJSC INFORMATION POLICY

More information

Mellanox Technologies, Ltd. Announces Record Quarterly Results

Mellanox Technologies, Ltd. Announces Record Quarterly Results PRESS RELEASE Press/Media Contacts Ashley Paula Waggener Edstrom +1-415-547-7024 apaula@waggeneredstrom.com USA Investor Contact Gwyn Lauber Mellanox Technologies +1-408-916-0012 gwyn@mellanox.com Israel

More information

Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance

Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance Microsoft Cloud and Hardware Results Drives Fourth Quarter Performance Commercial cloud annualized revenue run rate now exceeds $8 billion; Computing and Gaming Hardware, including Xbox and Surface, deliver

More information

Consolidated Settlement of Accounts for the First 3 Quarters Ended December 31, 2011 [Japanese Standards]

Consolidated Settlement of Accounts for the First 3 Quarters Ended December 31, 2011 [Japanese Standards] The figures for these Financial Statements are prepared in accordance with the accounting principles based on Japanese law. Accordingly, they do not necessarily match the figures in the Annual Report issued

More information

CHINA DISTANCE EDUCATION HOLDINGS LIMITED ANNOUNCES FISCAL THIRD QUARTER 2008 RESULTS

CHINA DISTANCE EDUCATION HOLDINGS LIMITED ANNOUNCES FISCAL THIRD QUARTER 2008 RESULTS FOR IMMEDIATE RELEASE CHINA DISTANCE EDUCATION HOLDINGS LIMITED ANNOUNCES FISCAL THIRD QUARTER 2008 RESULTS BEIJING, China, August 18, 2008 China Distance Education Holdings Limited (NYSE Arca: DL) ( CDEL,

More information

Tower International Posts Third Quarter 2010 Adjusted EBITDA of $39.1 million

Tower International Posts Third Quarter 2010 Adjusted EBITDA of $39.1 million For Immediate Release Derek Fiebig Director, Investor & External Relations (248) 675-6457 fiebig.derek@towerautomotive.com Tower International Posts Third Quarter 2010 of $39.1 million LIVONIA, Mich.,

More information

Sapiens results in the first quarter represent a solid start to achieving our financial targets for the full year.

Sapiens results in the first quarter represent a solid start to achieving our financial targets for the full year. Sapiens Reports 12% Year-Over-Year Increase in Quarterly Revenue to $41 Million Non-GAAP Quarterly Operating Profit Increased by 58.5% Year-Over-Year Holon, Israel, May 6, 2015 Sapiens International Corporation,

More information

ADVANCED SYSTEMS AUTOMATION LIMITED (Company Registration No: 198600740M) (Incorporated in the Republic of Singapore)

ADVANCED SYSTEMS AUTOMATION LIMITED (Company Registration No: 198600740M) (Incorporated in the Republic of Singapore) Financial Statements and Related Announcement::Second Quarter and/ or Half Yearly... http://infopub.sgx.com/apps?a=cow_corpannouncement_content&b=announcem... Page 1 of 1 8/13/2015 Financial Statements

More information

JD.com Announces Third Quarter 2014 Results

JD.com Announces Third Quarter 2014 Results JD.com Announces Third Quarter Results GMV in Q3 Increases by 111% Year-Over-Year Beijing, China---November 17, ---JD.com, Inc. ("JD.com" or the "Company") (NASDAQ: JD), China's largest online direct sales

More information

Mellanox Achieves Record Revenue in the Third Quarter 2015

Mellanox Achieves Record Revenue in the Third Quarter 2015 PRESS RELEASE Press/Media Contact Allyson Scott McGrath/Power Public Relations and Communications +1-408-727-0351 allysonscott@mcgrathpower.com Investor Contact Mellanox Technologies +1-408-916-0012 ir@mellanox.com

More information

Report of the Board of Directors

Report of the Board of Directors Report of the Board of Directors First Half 2010 In the first half of 2010, NedSense enterprises n.v. (the Company ) has performed on par with expectations, with results strongly improved as compared to

More information

EARNINGS RELEASE FOR IMMEDIATE RELEASE EXPEDITORS REPORTS FOURTH QUARTER 2014 EPS OF $0.51 PER SHARE 1

EARNINGS RELEASE FOR IMMEDIATE RELEASE EXPEDITORS REPORTS FOURTH QUARTER 2014 EPS OF $0.51 PER SHARE 1 By: Expeditors International of Washington, Inc. 1015 Third Avenue, Suite 1200 Seattle, Washington 98104 EARNINGS RELEASE CONTACTS: R. Jordan Gates Bradley S. Powell President and Chief Operating Officer

More information

INTERACTIVE DATA CORPORATION REPORTS SECOND-QUARTER RESULTS AND REAFFIRMS 2004 OUTLOOK

INTERACTIVE DATA CORPORATION REPORTS SECOND-QUARTER RESULTS AND REAFFIRMS 2004 OUTLOOK INTERACTIVE DATA CORPORATION REPORTS SECOND-QUARTER RESULTS AND REAFFIRMS 2004 OUTLOOK Second-quarter Revenue Increases 6.7%; Net Income Increases by 7.5% BEDFORD, Mass July 22, 2004 Interactive Data Corporation

More information

Numerex Reports First Quarter 2015 Financial Results

Numerex Reports First Quarter 2015 Financial Results May 11, 2015 Numerex Reports First Quarter 2015 Financial Results ATLANTA, May 11, 2015 (GLOBE NEWSWIRE) -- Numerex Corp (Nasdaq:NMRX), a leading provider of on-demand and interactive machine-to-machine

More information

Corporate Governance Charter

Corporate Governance Charter BHF Kleinwort Benson Group SA Public limited liability company Avenue Louise 326 1050 Brussels RLE n 0866.015.010 Corporate Governance Charter Last amended as of 24 March 2015 Contents 1 Board of Directors...

More information

Corporate Governance Regulations

Corporate Governance Regulations Corporate Governance Regulations Contents Part 1: Preliminary Provisions Article 1: Preamble... Article 2: Definitions... Part 2: Rights of Shareholders and the General Assembly Article 3: General Rights

More information

Management s Discussion and Analysis

Management s Discussion and Analysis Management s Discussion and Analysis of Financial Conditions and Results of Operations For the quarter and six months ended June 30, 2012 All figures in US dollars This Interim Management s Discussion

More information

SMFG Corporate Governance Guideline

SMFG Corporate Governance Guideline [Translation] SMFG Corporate Governance Guideline Chapter 1 General provisions Article 1 Purpose The purpose of this SMFG Corporate Governance Guideline (this Guideline ) is for Sumitomo Mitsui Financial

More information

Sixth consecutive quarter of strong profitability; Exited 2015 with record cash balance of $214 million

Sixth consecutive quarter of strong profitability; Exited 2015 with record cash balance of $214 million NEWS RELEASE Contact: Stacy Feit Investor Relations Tel: 213-486-6549 Email: investor_relations@mflex.com MFLEX ANNOUNCES FOURTH QUARTER AND FULL-YEAR 2015 FINANCIAL RESULTS Sixth consecutive quarter of

More information

Events & Presentations 02/11/2015. Strong Momentum and Results; Dividend Increase to $0.21

Events & Presentations 02/11/2015. Strong Momentum and Results; Dividend Increase to $0.21 1 of 13 05/03/ 13:56 Home > Investor Relations > News & Events > News News Events & Presentations + 02/11/ Strong Momentum and Results; Dividend Increase to $0.21 SAN JOSE, CA -- (Marketwired) -- 02/11/15

More information