ASML EUV Program. Jos Benschop Vice President System Engineering & Research. <file name> <version 00> <author> / Slide 1



Similar documents
Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO

EUV lithography NXE platform performance overview

EUV Source Technology: Challenges and Status

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

PROVE, the next generation registration metrology tool, status report

Model-based integration and testing of high-tech multi-disciplinary systems

Coating Technology: Evaporation Vs Sputtering

1W High Power Purple LED Technical Data Sheet. Part No.: LL-HP60MUVA

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

SLLP G PRODUCT DATASHEET. RoHS Compliant

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

The pole Optique-Rhône. Rhône-Alpes: a booster of innovation in Optics&Photonics

How To Make Money From Semiconductor Production

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Short overview of TEUFEL-project

LBS-300 Beam Sampler for C-mount Cameras. YAG Focal Spot Analysis Adapter. User Notes

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Economische ruilverkaveling in de hightech-industrie

digital quality control fail pass at your fingertips Smart Metrology Solutions.

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Major LED manufacturing trends and challenges to support the general lighting application

Mask Cleaning Processes and Challenges

Fig.1. The DAWN spacecraft

View of ΣIGMA TM (Ref. 1)

Contamination Transport from Wafer to Lens

Application Report: Running µshape TM on a VF-20 Interferometer

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Techniques for removal of contamination from EUVL mask without surface damage

High quality mask storage in an Advanced Logic-Fab

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

SENSORS FOR AIR QUALITY MONITORING MARCEL ZEVENBERGEN SR. RESEARCHER GAS AND ION SENSORS

Excimer Laser Technology

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts

Lithography Part I September, 5 th 2013

A Study of Haze Generation as Thin Film Materials

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Millijoules high master-slave pulse ratio 532 nm picosecond laser

PORTABLE MICROSCOPES PORTABLE MICROSCOPES PORTABLE MICROSCOPES PORTABLE MICROSCOPES PORTABLE MICROSCOPES PORTABLE MICROSCOPES

How To Increase Areal Density For A Year

Infrared Viewers. Manual

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

Prototyping to Production

Automotive Applications of 3D Laser Scanning Introduction

ADVANCED DIRECT IMAGING. by ALTIX

Results Overview Wafer Edge Film Removal using Laser

Features: Mounting the Optic

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Harvatek Surface Mount LED Data Sheet. HT-F195 Series

7. advanced SEM. Latest generation of SEM SEM

Laser drilling up to15,000 holes/sec in silicon wafer for PV solar cells

High power picosecond lasers enable higher efficiency solar cells.

Reactive Sputtering Using a Dual-Anode Magnetron System

SpeedLight 2D. for efficient production of printed circuit boards

Additional evidence of EUV blank defects first seen by wafer printing

Adjustment functions for both span and shift have been incorporated

Data Sheet. Nationstar LED

Nikon Mini Steppers. For MEMS, LEDs, and More. Nikon Mini Steppers

Compact Sensors - S8 Series. Compact size and high performance for the most challenging detection applications

LZC-00MC40. LedEngin, Inc. High Luminous Efficacy RGB LED Emitter. Key Features. Typical Applications. Description

HL-A-3528H308W-S1-13. Description. Applications. Recommended Soldering. Package Dimensions

TPC laser calibration system

Owner s Manual

TECNOTTICA CONSONNI SRL CERTIFIED QUALITY MANAGEMENT SYSTEM COMPANY BY DNV UNI EN ISO 9001:2008

Chapter 6. Photolithography 2005/10/18 1

Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster

Sensori ottici e laser nelle applicazioni industriali

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

Emerging new non conventional tools

Synthetic Sensing: Proximity / Distance Sensors

FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION

Automated Inspection System Data Clarifies Runnability, Quality Issues

Molded Infrared Optics

Wafer Placement Repeatibility and Robot Speed Improvements for Bonded Wafer Pairs Used in 3D Integration

Coating Thickness and Composition Analysis by Micro-EDXRF

Excimer Lasers for Super-High NA 193 nm Lithography

The Basics of Scanning Electron Microscopy

Guideline Laser Series

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

Realization of a UV fisheye hyperspectral camera

Micro-Power Generation

Figure 1 Wafer with Notch

Name: Due: September 21 st Physics 7230 Laboratory 3: High Resolution SEM Imaging

Meridian TM WS-DP Next Generation Wafer Based Electrical Fault Isolation System to Improve Yield Ramp

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications

Laserbearbeitung von dünnen Schichten auf Rolle-zu-Rolle-Anlagen

Development of Light Sources for Lithography at Present and for the Future

Overview of Optical Recording Technology- Current Status and Near Term Projections

LM10. Micro Laser Displacement Sensor. The LM10 makes laser sensors super easy to use! New circuitry lowers costs

Plasma Electronic is Partner of. Tailor-Made Surfaces by Plasma Technology

No. STSE-CW2163B <Cat.No > SPECIFICATIONS FOR NICHIA WHITE LED MODEL : NSPW315BS NICHIA CORPORATION -0-

Stainless Steel Marking Guide

0.45mm Height 0402 Package Pure Green Chip LED Technical Data Sheet. Part No.: LL-S160PGC-G5-1B

553-xxxx. 3mm LED CBI Circuit Board Indicator Bi-level x x x x ATTENTION

3W RGB High Power LED

Transcription:

ASML EUV Program Jos Benschop Vice President System Engineering & Research / Slide 1 <file name> <version 00> <author>

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 2

EUV is currently the only credible solution for 32 nm and below half pitch Pitch/2 (nm) k 1 (nm) NA 32 0.30 193 1.81 32 0.30 157 1.47 32 0.59 13.5 0.25 Pitch 2 = k 1 NA / Slide 3

EUV tool specification roadmap Process evaluation tool Early production Volume production 1st Shipment 2005 2007 2009 NA Range 0.15 to 0.25 0.15 to 0.25 0.15 to 0.25 Imaging - Dense Lines 50 nm -> 40 nm 35 nm 32 nm - Isolated Lines 40 nm ->30 nm 25 nm 18 nm - iso/dense contacts 65 nm -> 55 nm 45nm 40nm Overlay 12 nm 8 nm 6 nm Throughput < 10 WPH 30 WPH 80 WPH Notes: CDU = 10 % Resolution Throughput is at 300 mm, 16 x 32 mm 2, 125 shots, 5 mj/cm 2 / Slide 4

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 5

Commercial EUVL source requirements* Attribute 2009 Commercial Tool Central wavelength (nm) 13.5 Clean EUV power in 2% BW (W) 1 115 delivered to intermediate focus Source-induced condenser >30,000 hrs lifetime at full power and 6 khz Integrated energy stability 0.3% 3, 50 pulses at 6 khz and nom. scan speed * Based on consensus of ASML, Canon, and Nikon - modified Feb 2003 / Slide 6

Source suppliers power roadmap Power at IF (W) 125 100 75 50 25 Philips(Xe) Philips(Sn) Cymer Xtreme Pilot tools Joint A/N/C spec Process tool 0 2002 2003 2004 2005 2006 2007 2008 Year Conclusion: Today s EUV source options are adequate for process evaluation tool and there is an improvement roadmap pilot tools. The path for production tools needs significant research and development. / Slide 7

Sn Source: 5-kHz operation, good conversion and collection efficiency demonstrated intensity (normalised) 1.0 Line scan 0.8 0.6 0.4 0.2 0.0-3 -2-1 0 1 2 3 4 5 6 5-kHz operation position z (mm) Small pinch allows effective capturing of EUV Corresponds to 20 W in 2nd focus @ 3 sr collector Philips Extreme UV / Slide 8

Mitigation of Sn debris Good progress by: Reduced emission Combined mitigation systems With debris mitigation: no noticeable deposition Without mitigation: 80 nm Philips Extreme UV / Slide 9

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 10

Status of ASML-optics mirror production for 10x NA=0.08 EUV Schwarzschild optics figure (nm) MSFR (nm) HSFR (nm) CA-1mm 1mm-1µm 1µm-0.1nm M1 0.27 0.15 0.21 M2 0.35 0.14 0.14 M1 M2 / Slide 11

Fabrication of aspheres: the Micro Exposure Tool (MET) Mask Secondary (M2) Condenser (C2 & C3) Primary (M1) Wafer 100 mm 220 mm MET Collaboration with Lawrence Livermore National Lab partially funded by ISMT 13.4 nm NA 0.3 Resolution 30 nm Field 0.2 x 0.6 mm² Magnification 5x / Slide 12

Status of Zeiss mirror production for Micro Exposure Tool 0.25 0.20 M1 M2 figure (nm) MSFR (nm) HSFR (nm) Date CA-1mm 1mm-1µm 1µm-10nm Q4 '01 0.41 0.23 0.49 Q3 '02 0.20 0.27 0.37 in process data 0.22 0.27 0.32 Q4 '01 0.25 0.34 0.38 Q3 '02 0.21 0.28 0.31 in process data 0.20 0.20 0.20 Flare (%) 0.15 0.10 0.05 E. Gullikson (LBNL) 0.00 0.1 1 10 Line Width (m) / Slide 13

Coating technology 80 Reflectivity ~ 70 % Ion-beam assisted electron beam evaporation Collaboration with FOM Rijnhuizen Reflectivity (%) 70 60 50 40 30 20 10 0 12 12.5 13 13.5 14 Wavelength (nm) / Slide 14

Optics for process evaluation tool: Interferometers operational and fabrication of all mirrors started Reticle Illuminator Collector unit Wafer 6M Projection lens 70 pm precision / Slide 15

Lifetime: contamination and reflection loss Carbon growth: 1% loss per nm carbon C x H y + EUV H H H O mirror Si H C H C H O Si Reversible Irreversible Oxidation: 3% loss per nm additional oxide H 2 O + EUV H diffusion H O O Si mirror O Si Contamination control strategy Improve oxidation resistance Improve vacuum Fast carbon cleaning, but soft to mirror Reduce C-growth / Slide 16

Contamination control: current status 230-hr exposure at PTB/BESSY Gasses: C x H y, H 2 O, O 2 Intensity: 30 mw/mm² Blue: estimated position of EUV spot 66.3% 65.7% R -3.0 Reflectometry RK090403 B1 SI0682 i.xls 0.0-0.5-1.0-1.5-2.0-2.5-3.0 Y -3.5-4.0-4.5-5.0-5.5-6.0 2.0-6.5-2.0-1.0 0.0 1.0 66.4 % 66.2 % 66.0 % 65.8 % 65.6 % 65.4 % 65.2 % 65.0 % X Surface analysis shows no oxidation or other damaging effect! / Slide 17

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 18

Mask handling Issue: Direct contact with the mask (reticle) creates particles and pellicles cannot be used, since virtually all materials absorb EUV Two-part solution: 1. Minimize the number of direct contact events by using reticle handling frame 2. Find low-particle generating material combinations and the conditions under which they can be used Robot arm with RH frame Material contact test setup / Slide 19

Mitigate particle generation: minimize contact events Method: contact events directly with the reticle limited to load/unload from electrostatic chuck on the exposure stage; all other contact events in the reticle handler are with a reticle handling frame MAX PRINTABLE FIELD (4X) 104 x 132 (26 x 33 AT WAFER) SEMI Draft 3419 Chucking & Layout Standard: proposed handling areas (green areas) / Slide 20

Influence of material Conditions: 10N, 100 contacts, ULE / Cr sample, air Before After After A B Found two materials that have produced few particles and no damage Found gripper materials compatible with bare and Cr-plated ULE / Slide 21

Influence of venting conditions 50 40 30 20 10 0 8-7-2003 0:00 13-7-2003 0:00 18-7-2003 0:00 23-7-2003 0:00 28-7-2003 0:00 2-8-2003 0:00 Date 60 50 40 30 20 10 0 / Slide 22 Number of particles > 100 nm 5-8-2003 0:00 5-8-2003 12:00 6-8-2003 0:00 6-8-2003 12:00 7-8-2003 0:00 7-8-2003 12:00 8-8-2003 0:00 8-8-2003 12:00 9-8-2003 0:00 Number of particles > 100 nm Date 125 nm particle Early experiments: Continuous build-up of particulate contamination when cycling mask between vacuum and atmospheric pressure Improved venting: Repeated cycling adding single particle > 100 nm when cycling mask between vacuum and atmospheric pressure

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 23

Status process evaluation tool: major modules ready for integration / Slide 24

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 25

European EUV programs 2000 P CEA /DAM, R E U V E 2001 2002 2003 2004 2005 2006 ~100 person-years CEA/DRECAM, CEA/LETI, GREMI- Université d Orléans, REOSC-Groupe SAGEM, SESO, SOPRA, Université d Aix- Marseille/L2MP/LORX National E X T U K ~1700 person-years E X T A T I C E U VS STM, Infineon, Philips, Motorola, ASML, Zeiss, SAGEM, Xenocs, IMEC, E LETl, CNRS, INFM, ASML, Clariant, Zeiss, Eldim, Sagem, Alcatel, O Incam, X Leica, SAGEM, Schott, M UR SESO, Sigma- C, Sopra, Unaxis, Xenocs, IMS-chips, C Lorxn, AS XTREME Philips, FhG, Gremi, Thales, IOE, Jenoptik, Aixuv, FOM, IT Innolite C E MEDEA+ E European Comm. ~300 person-years MORE MOORE ASML, Zeiss, SAGEM, Xenocs, TNO- TPD, AMTC, Philips Extreme UV, Xtreme Technologies, FOM, ENEA, Phystex, EPPRA, ISAN, Imagine Optic, FhG - ISB, CNRS, Sigma- C, CEA-LETI, Uni. Bielefeld, Uni. Mainz, Focus, Uni.Delft, CLARIANT, CNRS, IMEC, IMEL, Elettra / Slide 26

European EUV programs address critical issues for tool, mask, and infrastructure Critical issues 1) Source power and lifetime including condenser optics lifetime Availability of defect free masks Reticle protection during storage, handling, and use Projection and illuminator optics lifetime Resist resolution, sensitivity, and LWR Optics quality for 32-nm node MEDEA+ investigates Xenon LPP and Discharge, Masks for 50-nm node; no PSM Development / standardisation of reticle holder Secure process tool lifetime requiremens Resist selection study Roughly 50-nm node specs More Moore investigates Tin, maybe other materials, solutions for 120 W Masks for 22-nm node: PSM Particle research in machine Secure production lifetime requirements Fundamental research on resist properties Flare reduction, active optics, illumination system 1) Critical issues as identified in EUV workshop Antwerp 2003 / Slide 27

Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling Status tool European EUV programs Summary & conclusion / Slide 28

Conclusions (1) Steady progress on tool critical issues Source (power, lifetime) Confident that process evaluation tool technology will be secured Several source suppliers have roadmaps leading to high throughput compatible powers and lifetime Optics (fabrication, lifetime) Confident that process evaluation tool technology & lifetime will be secured Production tool polishing specifications are being approached, lifetime solutions identified Defect-free mask fabrication and handling Good progress on material selection and handling schemes/design. / Slide 29

Conclusions (2) Mask and resist addressed within European EUV programs Process evaluation Tool system realization: well under way Process evaluation Tool is stepping stone toward pilot and volume tools for 32-nm node / Slide 30

Acknowledgement Thanks to a huge team effort at ASML Zeiss TNO TPD Philips PTB-BESSY FOM-Rijnhuizen and many others with support from national governments, MEDEA+ and European Commission / Slide 31