NEC Electronics: Integrating Power Awareness in SoC Design with CPF



Similar documents
ARM Cortex-A9 MPCore Multicore Processor Hierarchical Implementation with IC Compiler

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

7a. System-on-chip design and prototyping platforms

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Introduction to Digital System Design

What is a System on a Chip?

Designing a System-on-Chip (SoC) with an ARM Cortex -M Processor

Maximizing Range and Battery Life in Low-Cost Wireless Networks

on-chip and Embedded Software Perspectives and Needs

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

ARM Webinar series. ARM Based SoC. Abey Thomas

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

Near Field Communication in the real world part III

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems.

How To Design A Chip Layout

Encounter DFT Architect

STM32L. Ultra-low-power Cortex -M3 devices

Implementation Details

Universal Flash Storage: Mobilize Your Data

Final Design Report 19 April Project Name: utouch

DesignWare IP for IoT SoC Designs

Testing of Digital System-on- Chip (SoC)

Terminal Platform to Support Advanced Mobile Phone Functions

Low Power AMD Athlon 64 and AMD Opteron Processors

IL2225 Physical Design

Digital Systems Design! Lecture 1 - Introduction!!

Power Noise Analysis of Large-Scale Printed Circuit Boards

Introduction to Silicon Labs. November 2015

FPGAs in Next Generation Wireless Networks

Hunting Asynchronous CDC Violations in the Wild

design Synopsys and LANcity

Serial port interface for microcontroller embedded into integrated power meter

The new 32-bit MSP432 MCU platform from Texas

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Intelligent Home Automation and Security System

AN2760 Application note

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

Introduction to Functional Verification. Niels Burkhardt

Design of a Wireless Medical Monitoring System * Chavabathina Lavanya 1 G.Manikumar 2

University of Texas at Dallas. Department of Electrical Engineering. EEDG Application Specific Integrated Circuit Design

Intel Labs at ISSCC Copyright Intel Corporation 2012

Project Plan. Project Plan. May Logging DC Wattmeter. Team Member: Advisor : Ailing Mei. Collin Christy. Andrew Kom. Client: Chongli Cai

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

ontroller LSI with Built-in High- Performance Graphic Functions for Automotive Applications

ZigBee Technology Overview

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai Jens Onno Krah

CHIP-PKG-PCB Co-Design Methodology

EDK 350 (868 MHz) EDK 350U (902 MHz) EnOcean Developer Kit

EEC 119B Spring 2014 Final Project: System-On-Chip Module

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes

Freescale Semiconductor i.250 Case Studies: CSI Wireless. Case Study March 2004

DESIGN OF MIXED SIGNAL CIRCUITS AND SYSTEMS FOR WIRELESS APPLICATIONS

1. Memory technology & Hierarchy

Codesign: The World Of Practice

A Survey on ARM Cortex A Processors. Wei Wang Tanima Dey

Providing Battery-Free, FPGA-Based RAID Cache Solutions

Implementation of Wireless Gateway for Smart Home

System / Verification: Performance & Debug Track Abstracts

STF & STF201-30

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

A case study of mobile SoC architecture design based on transaction-level modeling

Current and Future Trends in Medical Electronics

Internet of Things. Opportunities for device differentiation

An Ultra-low low energy asynchronous processor for Wireless Sensor Networks

International Journal of Electronics and Computer Science Engineering 1482

A Faster, More Accurate Approach for System-Level Performance Verification of a Wireless RFIC Design

Lehman Brothers Wireless, Wireline and Media Conference

SG Miniature Wi-Fi Radio

TYPICAL APPLICATION CIRCUIT. ORDER INFORMATION SOP-EP 8 pin A703EFT (Lead Free) A703EGT (Green)

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Program. Introducing the Fujitsu Mobile WiMAX System-on-Chip Solution. Fujitsu at a Glance. Principal Services & Products.

Clocking Solutions. Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing. ti.

ZL40221 Precision 2:6 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

RTL Low Power Techniques for System-On-Chip Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

Which ARM Cortex Core Is Right for Your Application: A, R or M?

Guangzhou HC Information Technology Co., Ltd. Product Data Sheet

Guide to Wireless Communications. Digital Cellular Telephony. Learning Objectives. Digital Cellular Telephony. Chapter 8

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Impact of Signal Integrity on System-On-Chip Design Methodologies

White Paper ON Dual Mode Phone (GSM & Wi-Fi)

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

Welcome to Pericom s PCIe and USB3 ReDriver/Repeater Product Training Module.

Texas Instruments OMAP platform optimized for Microsoft Windows Mobile -based devices

An Energy-aware Multi-start Local Search Metaheuristic for Scheduling VMs within the OpenNebula Cloud Distribution

Hello, and welcome to this presentation of the STM32L4 reset and clock controller.

GaAs Switch ICs for Cellular Phone Antenna Impedance Matching

System on Chip Design. Michael Nydegger

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

FLYPORT Wi-Fi G

Relay2 Enterprise Cloud Controller Datasheet

ECE 410: VLSI Design Course Introduction

LOW POWER SPREAD SPECTRUM OSCILLATOR

telstra.com/ppmbb visit a telstra store or partner GETTING TO KNOW YOUR

Transcription:

NEC Electronics: Integrating Power Awareness in SoC Design with CPF

NEC Electronics: Integrating Power Awareness in SoC Design with CPF By Toshiyuki Saito, Senior Manager, Design Engineering Development Division, NEC Electronics. NEC Electronics Corporation 2008. NEC Electronics Corporation specializes in semiconductor products encompassing advanced technology solutions. There are three pillars of the NEC Electronics business, and the goal is to create leading edge products in each of these focused domains: 1. 2. 3. Microcontrollers (MCU), leading the market with strength in application support, software development environment, embedded FLASH and architectures Discrete and IC, including LCD drivers and optoelectronics components, power components and analog components System-on-Chip (SoC) platform solutions with a competitive edge in advanced process technology, design environment, IP cores and libraries, and software Figure 90. Three pillars of NEC Electronics business Low power in all its aspects is critical to NEC Electronics, as evidenced by their strong corporate commitment to Green environmental targets to reduce CO 2 ; and their early support for the European Restriction of the Use of Certain Hazardous Substances in Electrical and Electronic Equipment (RoHS Directive), the EnergyStar program in the U.S., and similar directives under the Kyoto Protocol from the Kyoto Japan Convention. NEC Electronics provides semiconductor devices with increasingly advanced functions and high performance that help customers build greener products, and has created the UltimateLowPower program, which is shown below. Sec3:2 NEC Electronics

UltimateLowPower stands for a new low-power design environment, as well as process technology for low-power. It includes exploring and driving fundamental technology, methodologies, and efficiencies in device, design, and process. UltimateLowPower TM Brand-new technologies enable LSIs with ultra-low power consumption Design Circuit Device technology technology technology Advanced package technology Low-power, low-cost, eco-friendly System Operation Frequency 10 GHz 1 GHz 100 MHz Mobile Phone High-Performance Server Network Mobile Computing Digital AV 100fA/Tr 10pA/Tr 1nA/Tr 100nA/Tr Standby Leakage Current A synergy of device, circuit, and design technologies achieves power reduction in 65nm/55nm generation LSIs Reduction in standby leakage current by supply voltage/body bias control Reduction in standby leakage current by high-k transistors Figure 91. NEC Electronics UltimateLowPower, 2007 (Ref. 12) NEC Electronics and CPF Although NEC Electronics has done many low-power designs, in the past the design flow was tedious and troublesome. Many advanced power reduction techniques were used, but they were difficult to implement with the existing design flow. And NEC Electronics is not alone: In the absence of a power standard, designers have been left to their own devices when describing low-power concerns across the design and implementation flow. As a result, to avoid problems and risks, most designers will be reluctant to adopt advanced low-power design techniques. This explains NEC Electronics strong interest in standards, especially with processes at 65nm and below providing significant motivation. Simplification of the low-power design flow leads to improved efficiency, reduced costs, improved quality, and a concomitant competitive advantage. Sec3:3

NEC Electronics was a founding member of the PFI (Ref. 13) and began working with Cadence on CPF in April 2006. To date: A proof-point project was designed to verify the CPF methodology with a test chip The methodology verified with CPF reflects the methodology today at NEC Electronics NEC Electronics provided more than 300 requirements and inputs to the CPF standard The rest of this chapter describes general low-power technology trends, followed by an example of recent low-power design success in NEC Electronics: a 65nm cell phone SoC. Next, it introduces the proof-point project using CPF to improve the lowpower design environment in NEC Electronics. Finally, it discusses the necessary spectrum of activities to promote CPF-based design, and provides a perspective of future low-power design progress. Why Low Power? With consumers increasingly demanding feature-rich devices, which expend more power and generate more heat, there are significant business implications of low-power consumption. Power reduction can bring competitive success across several axes: Battery life is critical for the success of mobile systems such as cell phones, digital still cameras, and handheld electronics. The chip developed for the latest NEC cell phone is discussed in detail later in this chapter Heat suppression is important for wired systems such as servers, personal computers, set-top boxes, DVD recorders and decoders, graphics interface chips, and the digital TV market. The motivation for power reduction is cost: avoiding the cost of cooling systems and fans and their lack of reliability Recently, automotive applications such as keyless entry, safety, security, GPS, and entertainment systems also require dedicated low-power efforts, especially to minimize standby power Low-power design helps to secure the cost competitiveness of the SoC and of its downstream product applications across all markets. Benefits include: Packaging cost reduction: for example, saving $1-4 per part in a low-cost plastic package Development costs with an efficient low-power flow are minimized, as well a turnaround time for SoC designs As mentioned earlier, low-power design also contributes to preserving our global environment and is strongly demanded by governments worldwide. (Ref. 14) Sec3:4 NEC Electronics

Figure 92. Low-power consequences for various electronic products Trends in Power Consumption Now let s look at the trends in power consumption as designers move to advanced process nodes. Power consumption of a chip can be expressed as the following well-known formula: Power = Active Power + Leakage Power 2 = C * Freq * V dd + N Tr * I off (V t ) * V dd Where C is the total capacitive load of switching in frequency (Freq); V dd is supply voltage; N Tr is the number of transistors; and I off is the off-leakage current of a transistor, which is a strong function of threshold voltage V t. Active and leakage power can be restated, and trends and relationships simplified, as described below. For every process generation change, the number of gates in a chip increases by 2x, and the clock speed increases by 1.3x. However, the switching power of the unit gate decreases by 0.7x and the leakage power of unit gate is kept constant. This is due to process miniaturization, in which switching load capacitance is decreased and off-leakage current is controlled by transistor device engineering. Hence, the power consumption increases as follows: 2 Active Power = C * Freq * V dd = (No. of gates) * (Clock speed) * (SwitchingPower of UnitGate) = 2.0x * 1.3x * 0.7x = ~1.8x Leakage Power = Ntr * I off (Vt) * V dd = (No. of gates) * (LeakagePower of UnitGate) = 2.0x * 1.0x = ~2.0x Active power and leakage power increases 80 percent and 100 percent respectively. (Ref. 15) Sec3:5

20 16 Active Power of a Chip Leakage Power of a Chip 12 8 4 0 130nm 90nm 65nm 45nm 32nm 10 Gate Size of a Chip 1 0 Leakage Power of Unit Gate Active Power of Unit Gate 130nm 90nm 65nm 45nm 32nm Process Node Figure 93. Trends in LSI power consumption The solution involves employing more advanced power management techniques throughout the design and implementation phases. For this, we need a comprehensive approach to low-power. Comprehensive Approach to Low Power Low power demands a very comprehensive technology flow. NEC Electronics goals are to cover a solution both as wide as possible and as deep as possible. Physical design alone is not the solution, as other elements of the design chain have to be considered as well. NEC Electronics Successfully Deployed Low-Power Techniques Low-power technology in NEC Electronics takes a synergistic approach among process, circuit, and design innovation, as shown below. Sec3:6 NEC Electronics

Figure 94. Low-power technology in NEC Electronics The most popular power management techniques used include multi- Vt and clock gating, which are very common because they have a low penalty regarding design complexity, area, and timing, and because EDA tool automation for these techniques has been achieved. Power shut-off (PSO), dynamic frequency/voltage scaling (DVFS), and back biasing are advanced techniques which carry more penalties and have been more difficult to implement (Ref. 16). Example of Mobile Phone System SoC The following example SoC represents a new mobile cell phone chip designed at 65nm and used in the NEC cell phone, and others. This fundamental architecture was originated as early as 2003, and has undergone evolution, enhancements, new standards, and process migration. Architecture The following architecture diagram viewpoint shows the system architecture, including all the different modes of operation, application and baseband functionality, combined and packed into a single complex SoC. Sec3:7

Architecture Diagram Target SoC Antenna and Switch PA PA 2G/2.5G (GSM/GPRS) TR/RS IC W-CDMA (3G) TR/RS IC HSDPA 7.2M DBB GSM/GPRS DBB W-CDMA DBB (HSDPA) SDRAM AP CPU DSP Image Graphic Sonic Camera Bluetooth FM radio Felica GPS Digital Tuner WLAN LCD SD Card Infrared Ray Analog I/F and Power IC DBB : Digital Base Band HSDPA : High-Speed Downlink Packet Access Figure 95. Architecture of NEC Electronics 65nm cell phone SoC This chip is an example of the complexity and high level of integration that challenges low-power goals; especially in the wireless market where battery life is paramount! Two SoC Implementations The following diagram shows two physical layouts for the SoC: M1 with 7 million gates, 250MHz CPU, and 8Mbit SRAM was designed in a 90nm process M2 is twice the chip, with 15 million gates, 500MHz CPU, and 12Mbit SRAM was designed in a 65nm process It is obvious that the targets keep on getting more aggressive. Sec3:8 NEC Electronics

Figure 96. Two implementations of NEC Electronics 65nm cell phone SoC Power Consumption Results Power results indicated that if the M1 design had been implemented without advanced power management, the power results would have been completely unacceptable for both active and leakage power: more than 2 times the power. However, by deploying advanced reduction techniques including dynamic clock controls, multiple power domains with power shut-off, back bias, and multi- Vt, M2 delivered twice the performance with the same power specification as the M1 chip. The techniques reduced active power by more than 50% and leakage by more than 60%. Sec3:9

M1 Logic 7Mgate,SRAM 8Mbit CPU 250MHz M2 Logic 15Mgate,SRAM 12Mbit CPU 500MHz Total Power of a Chip Active Leakage Active Leakage 90nm 65nm Dynamic Clock Control Multi-Vt Back Bias Control Power Shut-Off X2 SIze & Speed + Same Power Active Leakage M1 M2 (w/o Low Power Solutions) M2 Figure 97. Power results for two implementations of NEC Electronics 65nm cell phone SoC Power Forward Initiative and CPF Expectations The M2 design described in the previous section was accomplished without CPF. The EDA tools used in the flow included Cadence software, especially Cadence Encounter technology for physical implementation, synthesis from Synopsys, simulators from a variety of EDA companies, some NEC Electronics proprietary in-house tools, utilities to handle MSV, and many side files. So, NEC Electronics sees the value added by CPF standard in improving the flow, especially with respect to high-level power verification capabilities. NEC Electronics original goals and expectations for CPF included: Significant productivity gain in high-level design and verification Design cost savings through a simplified low-power SoC design flow Quality improvement using the Common Power Format specification by all designers To promote and to confirm these goals, NEC Electronics joined the Power Forward Initiative and created a joint proof-point project with Cadence, since CPF was the first power format available, in early 2006. Sec3:10 NEC Electronics

Vdd1 Vdd2 In1 In2 CLK TCLK Area 1 TMOD Area 2 PSMOD Out1 Out2 Review of idea In1 In2 CLK TCLK TMOD Area 1 PSMOD Area 2 GND2 GND1 Out1 Out2 RTL / Gate CPF (Common Power Format) Method for creating the lowering of power consumption is described in CPF CPF (Common Power Format) SDC Power supply area Power supply separation setting Power supply cutoff circuit Power supply area mode etc. Considering implementation Timing constraints Timing exceptions System I/F Wire load model etc. Customer s Idea Verification by CPF Implementation to SoC Figure 98. Low-power design with CPF NEC Electronics CPF Proof-Point Project: NEC-PPP The NEC Electronics proof-point project (NEC-PPP) with CPF successfully completed intensive validation of the CPF standard and the CPF-based flow, for major low-power methodologies within NEC Electronics. Productivity Gain Example of Power Control Simulation One of the remarkable benefits from using CPF has been shown in power control simulation. The following is a real example of our 65nm design experience describing how power shut-off is implemented, with a traditional flow and with a CPF-enabled flow. In a traditional flow for power shut-off simulation, designers must set unknown or x values for all necessary boundary pins of power shut-off domains, depending on what power mode they want to verify. It is not only tedious to write thousands of lines of testbench, designers also tend to lose quality of design, since it is difficult to develop a high enough number of corner cases to improve simulation coverage. The same simulation can be done using CPF just by adding simple power intent descriptions. CPF simplifies both the description of low-power intent, and the verification, reducing testbench complexity significantly. The runtime and disk usage are also much more reasonable. Sec3:11

Traditional Flow Testbench Descriptions CPF-Based Flow Testbench Sim always @ (SW1) Begin if (SW1==1bx) begin Power OFF force PD1.A=1 bx; force PD1.Y=1 bx; end else begin Power On release PD1.A; release PD1.Y; End RTL ForceX 1 and Release 60 2,360 Testbench RTL CPF (2.4K) lines = + + 1.43 GB = - - 1.43 GB CPF 40 Lines Log Wave Function Function Log Wave 20 min Run Time Memory 22 min Sim create_power_domain 1 name PD11 instances PD11 shutoff_condition {SW1} A PD1 Y SW1 A A PD1 Y SW1 X X Y SW1 Figure 99. Power shut-off example NEC-PPP Test Chip The NEC-PPP consisted of a test chip designed to provide exhaustive testing of the CPF standard, as well as its actual implementation in the tools flow, far more comprehensively than any representative real SoC could test. The test chip was carefully designed to exercise all major low-power design techniques used at NEC Electronics. These, of course, covered all techniques used in the cell phone chip described earlier. Since the NEC-PPP covered a very wide spectrum of design phases and has a high number of check points for the flow, and also because CPF-based design tools were not yet mature, many design flow iterations were expected during the NEC-PPP term. Therefore, the test chip was created to be as small as possible. This small, but intelligently contrived replica circuit chip exercised all the corners of test cases for all low-power techniques, as used in real designs, but checked them in all their possible combinations, for necessary and sufficient testing. CPF descriptions of power intent included: Multi-supply voltage (MSV) Power shut-off (PSO) State retention logic (SRL) Variable voltage library (VVL) Clock tree gating (CTG) Adaptive back bias (ABB) Another goal was to check that all the tools supported the semantics of CPF in the same way, making it easy to verify all these capabilities and to see the actual functionality of the tools on the design. Sec3:12 NEC Electronics

The test case was designed at 65nm, 1.2v, and combined: 5 power modes 6 power domains PD0:1.2V (Default, Always On) Driver PD4:0.74V PSOcntl PSGcntl ISOcntl PD1:1.2V PD2:1.2V PD3:0.74V PD5:0.74V Figure 100. Test design and power structure It included the minimum number of components to check as many combinations of low-power functions as possible. Power Mode PM1 PM2 PM3 PM4 PM5 Power Domain PD0 PD1 PD2 PD3 PD4 PD5 1.2V 1.2V 1.2V 0.74V 0.74V 0.74V 1.2V 1.2V 1.2V PSO 1.2V 1.2V 1.2V PSO 1.2V 0.74V 0.74V PSO 0.74V 0.74V 0.74V 0.74V 0.74V 0.74V 1.2V PSO PSO PSO 0.74V PSO Figure 101. Power domains and modes NEC-PP Check Points NEC-PPP check points included: 146 criterion items for design flow validation 386 checkpoints to verify all criteria Sec3:13

450 400 350 300 RTL-Level Function Verification Synthesis with LS, IC, PSW and SRL Insertion Formal Verification after Synthesis DFT with LS and IC Re-Insertion 250 Formal Verification after DFT 200 150 100 50 Gate-Level Function Verification Physical Implementation Formal Verification after Place and Route MSV Timing and SI Analysis Power Analysis 0 MSV R-Drop Analysis Criteria Check Points Figure 102. NEC-PPP check points NEC-PPP Results All 386 check points were evaluated successfully. Only 23 issues were raised for CPF 1.0, in contrast with 116 detected for CPF 0.5. CPF semantics were clarified as well. Some issues will influence future CPF v1.x evolution. 60 tool and library issues were detected and resolved, mostly CPF semantics interpretations. CPF Issues Tools and Library Issues 140 160 120 140 100 80 60 40 120 100 80 60 40 20 20 0 V0.5 V0.8 V1.0 0 4 5 6 7 8 9 10/2007 Figure 103. Learning from NEC-PPP Sec3:14 NEC Electronics

Examples of NEC-PPP CPF semantics and tool interpretation contributions: For always-on cells n n Tool behavior and CPF semantics were inconsistent Hierarchical macro instantiation issue was resolved Feed-through net handling n n Cadence Incisive Unified Simulator translated wrong X from feed-through net in off domain Improved feed-through handling: Feed-through nets can be modeled as buffer or wire Combo cell description n Simple description for combo cell, combined isolation, and level shifter functions CPF file verification capability n n Since writing CPF without human error is not always easy, CPF description check without netlist was requested Now, Cadence Encounter Conformal Low-Power has checking utility (lint-like capability) NEC-PPP Outcomes The proof-point project NEC-PPP concluded successfully with the following outcomes: Ensured support for major low-power methodologies at NEC Electronics Completed fundamental validation for flat design with CPF-based tools Contributed significantly to increased maturity of CPF and the CPF-based design flow Power control RTL and gate simulation based on CPF was verified successfully MSMV checking with Encounter Conformal technology has enough capability to support virtual low-power cells in RTL MSMV physical implementation flow shows comparable quality of results with previous design flow (without CPF) MSMV/MCMM signoff timing and SI analysis has been tested This level of success allows NEC Electronics to deploy a CPF-based flow for practical use in 2007. Also, the NEC-PPP test chip is now used in Cadence regression test suites for CPFenabled tools. Sec3:15

TB RTL CPF Equivalance Checking Encounter Conformal Low Power Simulation Synthesis Simulation Implementation Incisive Unified Simulator Encounter RTL Compiler Incisive Unified Simulator SoC Encounter System Timing / SI Sign-Off Encounter Timing System IR Drop / Power Sign-Off VoltageStorm Power Verification Gate1 Gate2 GDSII Structural Checking Encounter Conformal Low Power Figure 104. CPF-based flow for major low-power methodologies in NEC Electronics CPF Adoption For every new standard, including CPF, it is necessary to promote acceptance and adoption by a wider design ecosystem. To this end, many types of players, EDA vendors, IP and library providers, and designers, need to contribute respective responsibility and effort. Designers IP Libraries EDA Tools Language (Format) Challenge and master PF to describe user s idea Education and promotion are worthwhile IP vendor s acceptance and support Hierarchical description ability, etc. Semantics interpretation by all tools QoR and quality of tools User s validation Standardization and continuous upgrade Interoperability of CPF and UPF User s contribution Figure 105. Spectrum of adoption Sec3:16 NEC Electronics

The CPF language (or format) is one of the best, but simply defining a format does not help in practical SoC design. An excellent tool-set and well-integrated design methodology are critically important, so for all EDA tool vendors the following efforts are recommended: Adopt a common R&D target of holistic low-power design systems Assure interoperability between multiple vendor tools: a critical issue. The success of CPF requires the buy-in of many EDA vendors, to drive the momentum toward general EDA acceptance Ensure that all tools interpret the CPF format in the same way For IP vendors and libraries: It is requested to support IP cores with new format as well A CPF-based reference methodology for commercially major cores, including how to implement low-power cores in efficient way and how to use a core in chip design under the tradeoff between optimum power and other design factors Advanced IP users expect more specific descriptions and knowledge which can be prepared only by the IP vendor. This will enable users to adopt IP cores optimally For designers: Mastering new language solutions format to describe ideas is essential Education and training in CPF should be prepared by responsible CPF drivers, without expensive fees A Perspective on Future Holistic Approach to Low Power Low power demands a very comprehensive technology for SoC design, as seen in previous sections. An ideal low-power design methodology, however, should consider an even wider scope, covering the entire system through hardware and software design phases. In all design phases, there are various opportunities to promote low-power efforts. Sec3:17

Specification Development Low Power effort IT Equipment Req. Function Timing Power Heat EMI Mechanical (Heat Rediation) Design Electric (PCB) Design SW Design Test Low Power effort Low Power effort Low Power effort SoC Req. Low Power effort PKG Selection SW/HW Partition HW Spec. Low Power effort SW Spec. Circuit Design SW Design Physical Design Coding HW Test SW Dubug & Test Low Power effort Manu. Process Test Figure 106. System specification with holistic low-power approach The above chart shows the new product definition flow from a setmaker who has a development idea for an electronic system. The initial product requirements lead to specification development, covering function, timing, power, heat, and EMI specifications. Typically, the systems house (NEC or a customer) creates a specification, decomposes this into flows, then partitions and develops the software design. If an SoC is required, the systems designer requires a specification of the package and software/hardware specifications from NEC Electronics. Low power should be considered at the time of mechanical, electronics hardware, and software partitioning, through the detailed implementation. But as the shaded area shows, the focus of today s tools is on low-power in the hardware implementation design enabled with CPF. From the original equipment idea, all the way to the end, there are opportunities for power reduction. The extension of low-power design to the testing interface (shown by the right arrow), to the package design (left arrow), to software design (down arrow), and ultimately to whole system optimization (up arrow) including mechanical and PCB designs, is left as an arena for future improvement. A holistic approach is required for the future. Summary Power is immensely important to competitive success. Low-power design requires comprehensive optimization with many design tradeoffs, and a wide design space must be considered. The Common Power Format (CPF) holistically combines architecture design with physical implementation, and as such, CPF provides remarkable benefits in low-power design. Sec3:18 NEC Electronics

Low-power design success with CPF includes: Significant productivity gain in high-level design and verification Design cost savings with a simplified low-power SoC design flow The NEC Electronics proof-point project made a significant contribution to the maturity of the CPF design flow. NEC Electronics has already started to deploy CPF in a production environment, in 2007, because it believes that a competitive advantage in low-power can attract many new business opportunities, and the Common Power Format is a part of that advantage. Acknowledgements The author would like to thank Takashi Nakayama for sharing the 65nm design experience, and all PFI members at NEC Electronics and Cadence for their remarkable contribution to NEC-PPP. Toshiyuki Saito serves as a senior manager of the Design Engineering Development Division at NEC Electronics Corporation. He has managed many foundation technology development projects for advanced LSI design, including the UltimateLowPower design technology for 65nm mobile SoCs. He received his M.S. degree in computational physics of materials from Kanazawa University in 1984, joined NEC Corporation, and moved to NEC Electronics Corporation in 2002 when the company was established through a spinoff of the semiconductor business operations from NEC Corporation. Sec3:19