Techno-Economic Pressures and the case for Innovation. Suresh Venkatesan Senior Vice President, Technology Development



Similar documents
DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

The Internet of Things: Opportunities & Challenges

The Impact of IoT on Semiconductor Companies

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Nanotechnologies for the Integrated Circuits

The Semiconductor Industry: Out in Front, but Lagging Behind Tom Mariano Published September, 2014

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

The Internet of Things (IoT)

Advanced VLSI Design CMOS Processing Technology

3D NAND Technology Implications to Enterprise Storage Applications

How To Make Money From Semiconductor Production

Investor Presentation Q3 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

Welcome & Introduction

MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014)

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Flash s Role in Big Data, Past Present, and Future OBJECTIVE ANALYSIS. Jim Handy

Riding silicon trends into our future

NVM memory: A Critical Design Consideration for IoT Applications

BUILDING BLOCKS AND CHALLENGES. of the Internet of Things

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

How To Increase Areal Density For A Year

Intel s Revolutionary 22 nm Transistor Technology

Internet Connected Devices: Evolving from the Internet of Things to the Internet of Everything. Bill Morelli Associate Director

Circuit Protection is Key in Maintaining Growth for The Internet of Things

Building the Smart Future CONFAB 2015

PROPRIETARY CISCO. Cisco Cloud Essentials for EngineersV1.0. LESSON 1 Cloud Architectures. TOPIC 1 Cisco Data Center Virtualization and Consolidation

How To Understand The Power Of The Internet Of Things

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

MEPTEC. Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015

Forecast of Mobile Broadband Bandwidth Requirements

Internet of Things. Key Enabler for the Digital Economy. Luis Jaraquemada VP Technology Huawei Chile

Embedded STT-MRAM for Mobile Applications:

DesignWare IP for IoT SoC Designs

Company Presentation. February Sustainable Technologies Conference. June 8, 2011

Internet of things (IOT) applications covering industrial domain. Dev Bhattacharya

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS

The Impact of 4G and IoT on the RFFE Market. Presented by Kevin Schoenrock of QORVO

Introduction to Silicon Labs. November 2015

Samsung 3bit 3D V-NAND technology

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

Samsung 2bit 3D V-NAND technology

From physics to products

State-of-the-Art Flash Memory Technology, Looking into the Future

Data Center Network Evolution: Increase the Value of IT in Your Organization

Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices. Max Lu, Deputy Director, SPIL

Solutions. Machine-to-Machine. Arrow Electronics. Complete, end-to-end solutions over the life of your product.

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

Greeting from the edge; Right Data, Right Place, Right Time

The Rise of Intelligent Systems: Connecting Enterprises and Smart Devices in Seamless Networks

Internet of Things From Idea to Scale

The potential shake-up in semiconductor manufacturing business models

Internet of Things (IoT) and its impact on Semiconductor Packaging

A Peek into the Future-''Internet of Things''

IoT: New Opportunities for Semiconductor Industry Growth. Andrew C. Russell Vice President Marketing Greater China

T r a n s f o r m i ng Manufacturing w ith the I n t e r n e t o f Things

Home and Digital. Jean-Marc Chery Chief Operating Officer

STMicroelectronics is pleased to present the. SENSational. Attend a FREE One-Day Technical Seminar Near YOU!

Our Embedded Dream of the Invisible Future

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Network Evolution, Cloud & Future Services. Opportunities & Challenges for Next-Decade Services

Connected Transport. Steve Brown SVP Telematics. 29 October 2015

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

The NEW POSSIBILITY. How the Data Center Helps Your Organization Excel in the Digital Services Economy

NAND Flash Memory as Driver of Ubiquitous Portable Storage and Innovations

Energy Efficiency: The Common Denominator in the Internet of Things

How To Scale At 14 Nanomnemester

Commercializing TSV 3DIC Wafer Process Technology Solutions for Next Generation of Mobile Electronic Systems

EUV lithography NXE platform performance overview

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

NXP and the Internet of Things ( IoT ) Andrew C. Russell VP Marketing Greater China

Testing & Assuring Mobile End User Experience Before Production. Neotys

App coverage. ericsson White paper Uen Rev B August 2015

Wireless Process Control Network Architecture Overview

The 53rd Annual General Meeting of Shareholders June 17, 2016

2008 Thomson, a part of the Thomson Corporation. Thomson, the Star logo, and Atomic Dog are trademarks used herein under license.

End-to-End M2M and IoT Services

ZigBee Technology Overview

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

Unternehmerseminar WS 2009 / 2010

Introduction to M2M Technologies What Wireless or Wired Option is Right For Your Company or Products

Yield Is Everyone s s Issue. John Kibarian CEO, President and Founder PDF Solutions

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

A Look Inside Smartphone and Tablets

Mobility. Mobility is a major force. It s changing human culture and business on a global scale. And it s nowhere near achieving its full potential.

Connected Intelligence

LTE Release 10 Small Cell Physical Layer Evolution Issues and Challenges Facing Small Cell Product Developers in Multi- Core Environments

Analog Devices Inc. (aux.)

Transformational characteristics of IoT solutions for enterprises and smart cities

Ultra-High Density Phase-Change Storage and Memory

UBS Technology Conference

NEW LIFE FOR EMBEDDED SYSTEMS IN THE INTERNET OF THINGS

Industrial Roadmap for Connected Machines. Sal Spada Research Director ARC Advisory Group

OpenMTC. M2M Solutions for Smart Cities and the Internet of Things.

Transcription:

Techno-Economic Pressures and the case for Innovation Suresh Venkatesan Senior Vice President, Technology Development

OUTLINE Semiconductor Market Trends Eco-system Challenges Technology Innovations Implications to Characterization and Metrology

Mega Trends That Will Drive the Economy, Technology and Semiconductors Coverage and insatiable bandwidth needs will drive Next-Gen Wireless Mobile computing will continue to converge functions and drive compute power Platform Owners rapidly growing semi skills changing customer relationship model Internet of Things will drive mobile processing at low power with ubiquitous RF Increasing Security concerns at all levels: government, enterprise and personal Networks and Storage focus on hardware and more on Applications-Centric Infrastructure 3

Mobility Drives the Wave of Computing Evolution Are we now at another inflection point? Internet of Things wired wireless wired wireless wireless Bandwidth 28kbps 10kbps 100kbps 1Mbps 10Mbps 1970 s 80 s 90 s 00 s 10 s 20 s Unit Shipments ~1M ~10M ~100M ~1B ~100B 4

Performance Market Segments Characteristics High performance multi-core multi-processor Thermal Limited in Performance High speed on and off chip interfaces Large embedded memories Data Centers Networking Specialty Mobile, Consumer Automotive* & Industrial Facebook data center IoT devices* (Medical, Wearable) Ultra-low-power SoC ULV Digital(0.6V) ULV RF (<0.6V) * Embedded Non-Volatile Memory Required Energy- efficient SoC: Multiple Modes of Operation Blend of Power and Performance RF Integration Power 5

Internet of Things (IoT) is More Than Just Hype Compelling Market Drivers Energy efficiency Manufacturing competitiveness Vehicle traffic management Climate change Health care costs Global Security Buildings Manufacturing lines Vehicles Forests, oceans, farms Vital signs Activity Need to monitor 10 s of billions of systems to facilitate realtime decision making and system optimization

Layers of Opportunities in IoT Wearables/ Medical Smart Meters Smart Home Factory Automation Analytics/ Apps Fitness Tracker App Billing, PEV Charging scheduling Lighting, security HVAC Inventory JIT Scheduling Historian Data Centers/ Servers icloud Utility Servers icloud (Secure) Enterprise, Factory Servers Aggregation Layers Wide Area Connectivity Gateways LTE/4G/3G Cable/Fiber Smart Phone ZigBee NAN SubGHz RF G3/Prime, LTE Electricity Smart Meter LTE/4G/3G Cable/Fiber ISP, home Gateway, Smart phone Wi-Fi 802.11 Ethernet SCADA Distribution Access Switch Local Area Connectivity Wi-Fi 802.11 Bluetooth 4.0 SEP2.0/15.4 M-Wireless, WiSUN Wi-Fi 802.11 BT Smart ZigBee/15.4 6TiSCH/15.4, ProfiBus/ 802.3/802.11 Edge Nodes Breakthrough innovation is required at the edge

IoT: Extremely challenging design requirements IoT node chipset 32 bit Micro Controller BB Radio + Front- End Power Mgmt Past Future Time to Market <3-4yr <1-2yr Cost of end chipset >> $1 << $1 DAC ADC MEMS Design Constraints 1. Signal Chain as complicated as a cell phone 2. Cost < 1/100 th of a cell phone 3. Size < 1/1000 th of a cell phone 4. Power Consumption < 1/1000 th of a cell phone 8

Opportunities for Innovation Abound! Sensors RF envm Packaging Platform Technology Integration with Si Packaging RF Power Power Consumption Bandwidth Integration Power Scaling Reliability Integration Cost Effectiveness Flexibility Alternative scaling opportunities (2.5/3D)

OUTLINE Semiconductor Market Trends Eco-system Challenges Technology Innovations Implications to Characterization and Metrology

Evolving Industry Landscape Foundry, Qualcomm and ARM winners in mobility PC to mobility transition Mobility: Low-end driving growth; high-end driving profits 2017: 1.8bn mobile devices (smartphone and tablet) PCs (x86 base): Declining (~300mm units in 2013) Customer consolidation Supplier consolidation Industry cyclicality 5 companies drive >60% of total industry wafer demand System companies designing directly to fabs/foundry Over $20B of M&A during the past three years Semiconductor industry remains cyclical (4-yr forecast CAGR of ~6%) Mobility CAGR ~10%, foundry CAGR 6-8% Capex and development costs increasing Technology scaling Only a few 14nm fabs in the world with $3-5bn revenue / year each 28nm and 14/10nm likely to be long-lived nodes

Accelerated Leading-Edge Adoption Profile: Drives Greater Cost and Complexity Historical Technology Ramp (2-Year cycles) Historical Accelerated Wireless Adoption Technology Ramp Evolving

Process innovations required for each successive technology is exploding exponentially MIM CAP II TSVs MIM CAP AIR GAP Porous LK Cu Alloys Sel Metal caps Porous LK New Cu BM Cu Alloys Eless Cu BEOL Contacts Low-K ESL Electroless Cu MIS Al Wires TiSSi 2 Bulk Si FTEOS ILD CVD W MOCVD TiN CoSI 2 FTEOS ILD Cu Barriers Cu wiring SOI ILD Cu caps ALD W NiPtSi Si Strain CPI Low K ILD CoWP cap Stress Liner Si-Ge Ultra Low-K ULK Cap Eless Cu High K Metal Gate Gate First Si orientation Cu Contact Dual Si 2 ALD Metals Replacement Metal Gate Stress Liner Si-C Dual Si 2 Fin FET Multiple EWF Si-50%Ge Ge MOS SiGe channel Si-P Device Channel 350 250 180/130 90 65 /45 45/32 28/20 14/beyond Technology Node 13

Minimum feature size (nm) Two ways of looking at Moore s Law 10,000 Minimum feature size Cost per transistor 1,000 100 10 1980 1990 2000 2010 Year No Exponential is Forever: But Forever Can Be Delayed! Gordon Moore, ISSCC, 2003 The era in which shrinking features automatically ensured cheaper transistors is over! The economic foundation on which the semiconductor industry has functioned for 4 decades a steady decline in prices is not very solid. 14

Capex Challenge: Semiconductor Scaling May be Approaching an End at 7nm Capex per kwpm of installed capacity ($mm)1 Key drivers: Lithography cost finfet device complexity Advanced Equipments 25% increase 33% increase 65m 40nm 28nm 20nm 14nm Cost per transistor reduction (indexed to 65nm) 50% increase 25% increase At 20nm and below: Lower cost reduction per transistor Performance / Power improvements Only customers requiring density, Performance or Lower power consumption will migrate 28nm likely a long node 46% reduction 24% reduction 12% reduction 3% reduction Next long-lived node may be 14/10nm, lengthening investment capture period 65nm 40nm 28nm 20nm 14nm Cost of building leadingedge fab now >$10bn Source: GLOBALFOUNDRIES, Sanford Bernstein, February 2014 1 Includes equipment and building

Equipment is a greater proportion of overall Fab Costs Facilities Equipment 100% 80% 30% 30% 25% 20% 15% Lithography Etch 20% 30% 60% PVD CVD 10% 15% 40% 70% 70% 75% 80% 85% Metrology Implant 5% 10% 20% CMP 5% 0% 90nm 65nm 45nm 32nm 20nm Diffusion 5% 0% 10% 20% 30%

ROI Delayed due to increased investment and slower time-to-volume (TTV) Goal Historical Evolving Increased Investment Slower TTV (Higher level of Integration, New yield ramp challenges)

2015 and Beyond: The Industry Transformation Continues The economics of innovation are changing our industry Smart mobile devices redefining personal computing High volume, low cost and globally pervasive Advanced technology innovation will provide the path forward Lithography and material inflection points Packaging comes to the forefront Collaborative innovation is becoming the norm A shared model for R&D and manufacturing Collaboration from product definition to production

OUTLINE Semiconductor Market Trends Eco-system Challenges Technology Innovations Implications to Characterization and Metrology

The Big Five Opportunities / Challenges Device Architectures/ Materials Litho/EUV Packaging 450mm FDSOI FinFETs NanoWires III-V Cost Multi-pattern immersion EUV Source power Tool availability Normal economics are dead Value proposition shifting toward PPC Alternative scaling opportunities (2.5/3D) Pilot lines and HVM timing driven by 193i and EUV lithography G450 COST and Time to Everything

Device Architecture is Poised for Tectonic Shifts Over one decade IC technology will likely experience major shifts in: Architecture PLANAR FinFET Nanowire And Materials Si Si/SiGe Ge/III-V 14 nm SiGe Ge

SoC Memory Usage growing Nanocrystal

MTJ STT-MRAM BEOL based memory utilizing magnetic orientation to store bits Storage layer Tunnel Oxide Fixed layer BL 1 FET 1 MTJ architecture @ 20-40F 2 Low voltage (~1V) operation no HV need Broad range of applications from retention, endurance, speed and low power characteristics NVM Non Volatile Cache Two MTJ types: In-plane: more mature; not seen as scalable beyond 28nm Perpendicular: in-development; similar materials, lower current, faster, smaller MTJ area, scalable WL Typical In-Plane Stack Top Electrode Capping Layer CoFeB MgO CoFeB Pinning Layer Seed Layer PtMn, IrMn Storage Layer Fixed Layer Co/Ni, Co/Pt, Co/Pd SL Typical PMA Stack Top Electrode Capping Layer CoFeB MgO CoFeB Pinning Layer Seed Layer Bottom Electrode Not drawn to scale Bottom Electrode

EUV lithography Semiconductor lithography has always been practiced at wavelengths where there are intense, narrow-band sources of light. Mercury g-line and i-line (Image courtesy of Ushio) KrF and ArF excimer lasers (Image courtesy of Cymer Inc.) EUV lithography is practiced at wavelengths where there are multilayer coatings with reasonably high reflectance.

Changes from immersion to EUV lithography Fundamental changes Factor Immersion lithography EUV lithography Light source ArF excimer laser Laser-produced plasma Mask Transmission Reflection Pellicle Fluoropolymer None OPC Mature algorithms New 3D effects Exposure tool In air Vacuum Factor Resists Metrology Device Changes due to scaling Major concerns Resolution, line-edge roughness (LER), and sensitivity CD < 20 nm, overlay < 4 nm Contact resistance, transistor It has been demonstrated that integrated devices can be fabricated and yielded using EUV Can we make money using EUV lithography?

Throughput (wph) EUV: CoO is a strong function of source power Today, the best sources integrated with exposure tools are < 100 W 200 180 Cost equivalence to immersion double patterning Cost equivalence to triple patterning 160 140 Resist sensitivity 120 100 80 60 40 20 0 100 150 200 250 300 350 400 450 500 Power at intermediate focus (W) 15 mj/cm2 20 mj/cm2 25 mj/cm2 30 mj/cm2 >500 W sources likely needed to make EUV cost effective

OUTLINE Semiconductor Market Trends Eco-system Challenges Technology Innovations Implications to Characterization and Metrology

EUV light source and masks New capabilities will be needed for mask defect inspection. ~30 mm tin droplets laser light collector 12 February 2014 28

Metrology challenges for MRAM: MTJ stack (blanket film) Current in-plane Tunneling (CIPT) Electro Microscope In order to measure tunneling magnetoresistance (TMR) signal and resistance areal (RA) product (from MgO tunnel barrier). Expensive metrology: Probe cost~1500 USD for 100 touch down! Probe Wafer Not considered in-line monitoring tool. Challenges on MRAM magnetic wafers measurements VSM is offline monitoring _low sensitivity Samples need to be diced to small pieces (4mm x 4mm size). This is not in-line monitoring tool. magnet Saturation Magnetization Vibrating sample B Magnetometer A (VSM) Remanent Magnetization Coercivity H c C D GLOBALFOUNDRIES Confidential 29

Pervasiveness of Metrology State of the art chip manufacturing technology nodes are approaching 2000 steps Metrology and inspection steps consume a large share of these steps - ~50% of all steps and generally growing ~25% to 33% of all the tools in the fab are metrology/defects toolsets Significant fab floor space is needed for just metrology/inspection CDSEM/film thickness toolsets are typically the most numerous tools in all fabs Metrology has a significant impact on the Semiconductor Ecosystem Challenges

Trends in IC Impacting Metrology 1. Shrink puts pressure on Measurement Uncertainty (see ITRS Roadmap) Atomic level accuracy, matching and precision challenge 2. Complex integration, new materials (undercuts, multi-layer SiGe): 3D & Patterning 3. Advanced Patterning aspects due to lack of EUV 4. How to leverage the best value from Metrology Are we measuring just for the sake of measuring - > need to create value, MTTD 5. Sources of Variation & process control Variability (lot, wfr, die) Need in-die metrology?

3D Metrology Technology overview Attributes: OCD CD-SEM AFM TEM/XSEM X-Ray What to measure CD, profile, other CD, roughness CD, profile CD, profile, other Ultrathin films, composition Where to measure Periodic grating Any Any Any Mostly Unpatterned Time to solution Days to weeks Minutes Minutes Hours to days Minutes Destructive Negligible Minor (resist) Mostly none Yes Mostly none Time to measure Seconds Seconds Minutes to hours Days Minutes to hours Summary: strengths Assumptions and limitations Typical Fab usage - Fast measure - Most profile info - High Precision - Model assumptions - Accuracy & precision trade-off - Requires grating workhorse for CD and profile - Quick setup and fast measure - Anywhere - Constant and uniform profile - Limited profile info - Affected by profile workhorse for CD - Most profile info - High accuracy - Tip wear and characterization - Needs Large space - Low throughput Reference, partial in-line - Full profile info - High accuracy - Processdependent resolution - Limited statistics - Expensive & destructive Absolute reference - Ultra-thin films and composition - Synergistic to OCD - Complete correlation volume / composition (needs hybrid) Composition Difficult for a single metrology technique to measure all critical parameters sufficiently on 3D -> Hybrid Metrology emerging to fill-in gaps where individual techniques are lagging

In Summary, Eco-system challenges abound, but 4Xnm Increasing the need for process control 1Xnm Time to Market The mobile era has shortened the time between technology nodes Cost Economics Major increase in fab construction cost and in process R&D cost in 20,0advanced tech nodes 00 $Millions 15,0 00 10,0 00 5,00 0 0 2004 2008 2012 2016 2020 Process Complexity Complex 3D structures: FinFET, 3D-NAND Double / Quad patterning Novel materials: HKMG, esige, III-V Process Complexity

. Innovation and Engineering have always triumphed And will drive economical scaling for several more nodes!! Thank you