MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014)

Size: px
Start display at page:

Download "MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014)"

Transcription

1 MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014) INTERNATIONAL BUSINESS STRATEGIES, INC. 632 Industrial Way Los Gatos CA USA (fax)

2 INTERNATIONAL BUSINESS STRATEGIES () Interfaces with many global electronics leaders and customers worldwide on advanced technology Involved in market and competitive analysis for smartphones and other high volume platforms Also active in automotive, energy management, and other applications Involved in number of due diligence projects for IPOs Expertise in China Latest book is called China s Globalization: How China Becomes No. 1 ( Earlier book was Chinamerica and published by McGraw-Hill Forbes blog contributor, China Daily articles, EETimes editorials, Global Times editorials, etc For more information, visit I9-FDSOIFORUM SLIDE 2

3 Semiconductor market is in growth mode (5.4% in 2014 and 6.2% in 2015) Multimedia mobile platforms represent key driver for low power and medium performance semiconductor products Demand in China is growing rapidly, with 90% of semiconductors being supplied by foreign companies Goal for Chinese semiconductor companies is to supply 40% of semiconductors consumed in China in 2020 Represents major opportunity for Chinese fabless, IDM, and foundry vendors Internet of Things (IoT) market is emerging with need for very low power consumption Advantages if battery lifetime is one year Need low power and low cost technology INDUSTRY PERSPECTIVES FinFETs are high cost with major challenges in design Delays by Intel of 15 months (Q4/2013 to Q1/2015) in ramping 14nm Tri-Gate products are indicative of problems faced by foundry-fabless ecosystem FD SOI REPRESENTS EXCELLENT TECHNOLOGY THAT BRIDGES 28nm BULK CMOS AND FINFETS I9-FDSOIFORUM SLIDE 3

4 Semiconducotr Market SEMICONDUCTOR MARKET BY PRODUCT $450B $300B $150B MPU MCU DSP Standard Cell ASIC FPGA Special-Purpose Logic General-Purpose Logic Display Driver Analog MOS Memory Other Semiconductor $0B I9-FDSOIFORUM SLIDE 4

5 Semiconductor Market SEMICONDUCTOR MARKET BY GEOGRAPHY $500B $444B $413B $400B $383B $387B $300B $303B $298B $290B $299B $315B $335B $358B North America Japan Europe $200B China ROW $100B $0B CHINA REPRESENTS LARGEST CONSUMPTION VALUE I9-FDSOIFORUM SLIDE 5

6 Chinese Semiconductor Consumption CHINESE SEMICONDUCTOR CONSUMPTION $280B $262B $239B $210B TOTAL SEMICONDUCTOR CONSUMPTION IN CHINA $177B $194B $213B $218B $140B $128B $132B $136B $145B $161B Supply from Foreign Semiconductor Companies 2020 Goal of Chinese Government for Supply from Chinese Semiconductor Companies $70B $0B 4.5% 6.1% 7.2% 8.2% 8.9% 9.6% 10.4% 11.2% 13.0% 14.0% 15.0% Supply from Chinese Semiconductor Companies TARGETING 40% OF CHINA S SUPPLY IN 2020 IS APPROPRIATE I9-FDSOIFORUM SLIDE 6

7 Number of Smartphones (MU) SMARTPHONE MARKET 2,000 1,742 1,649 1,549 1,500 1, ,105 1, , , Non-China ,095 1, China HIGH GROWTH FOR CHINESE COMPANIES I9-FDSOIFORUM SLIDE 7

8 Number of Tablets (MU) 600 TABLET COMPUTER MARKET Non-China China CHINESE COMPANIES HAVE HIGH GROWTH I9-FDSOIFORUM SLIDE 8

9 Foundry Market $70B FOUNDRY MARKET BY FEATURE DIMENSION $60B $50B $40B >0.35µm 0.35µm 0.25µm 0.18/0.15µm 0.13µm 90nm $30B 65nm 45/40nm 32/28nm $20B $10B 22/20nm 16/14nm 10nm $0B /14nm WILL NOT RAMP UNTIL Q4/2016 OR Q1/2017 I9-FDSOIFORUM SLIDE 9

10 28nm CONSUMPTION BY APPLICATION MULTIPLE VERSIONS OF 28nm AND SHRINK WILL BE REQUIRED (%) 2015 to to to 2020 Application processor and modem (China centric) Consumer (DTV, OTT set-top box, etc) (China centric) ISP Microcontroller FPGA ASIC RF Mixed-signal Image sensor TOTAL Note: 28nm and derivatives as well as all technology variants, including bulk CMOS HKMG and SiON. I9-FDSOIFORUM SLIDE 10

11 28nm Wafer Volume (KU) 28nm WAFER VOLUME 5,000 4,000 3,434 3,880 4,297 4,304 4,204 4,164 4,058 3,876 3,710 3,505 3,000 2,912 2,145 2,000 1, nm WILL BE LONG LIFETIME TECHNOLOGY NODE I9-FDSOIFORUM SLIDE 11

12 FD SOI MARKET POTENTIAL nm Wafer value ($M) ,733 Product value ($M) ,461 16/14nm Wafer value ($M) ,249 3,894 5,129 Product value ($M) ,339 5,802 10,280 13,848 22/20nm Wafer value ($M) 486 1,563 2,553 3,625 4,480 4,993 Product value ($M) 1,074 3,517 5,846 8,446 10,618 12,033 32/28nm ($M) Wafer value ($M) 831 1,952 2,897 4,652 5,107 5,873 Product value ($M) 1,803 4,333 6,576 10,746 12,053 14,036 TOTAL Wafer value ($M) 1,317 3,669 6,378 10,526 13,767 18,728 Growth rate (%) NA Product value ($M) 2,877 8,231 14,761 24,995 33,714 47,379 Growth rate (%) NA FD SOI MARKET HAS GOOD GROWTH POTENTIAL I9-FDSOIFORUM SLIDE 12

13 IOT AS OPPORTUNITY FOR FD SOI Many things are connected with intelligence in analyzing data that is collected IoT can be considered as extension of person or machine and can be productivity tool IOT IS HIGH GROWTH OPPORTUNITY FOR SEMICONDUCTOR INDUSTRY Advantageous for IoT devices to have operating lifetime of weeks, months, or years without recharging Concept of charging every night is not practical for IoT What is displayed is limited by size Limits adoption of many wearable devices such as watches Need interfaces to be universal plug and play Voice command is key opportunity for IoT, but with need for high processing power Migration to smaller feature dimensions is major advantage for IoT, but cost and power consumption need to be low I9-FDSOIFORUM SLIDE 13

14 IOT BUILDING BLOCK FUNCTIONS Application Software Wireless Connectivity (Bluetooth) Low Power MCU (Cortex-M0, -M3, and -M4) Embedded Nonvolatile Memory Analog Interfaces PMU Sensors I9-FDSOIFORUM SLIDE 14

15 IOT BUILDING BLOCK FUNCTIONS (CONTINUED) Key processor core is ARM family Other options are Intel Edison, Andes Technology, MIPS, Modivius, Tensilica, etc Critical need is low power as well as performance scalability FD SOI is ideal technology option Key support capability is embedded nonvolatile memory Bluetooth Smart and Bluetooth mesh network are strong contenders for connectivity ZigBee continues to have demand from smart meters and light dimming such as Home Depot (Wink hub) and Lowe s (Iris hub) Sensor technology is critical with major strengths in Europe such as STMicroelectronics, Leti, Bosch, and others Important to build leadership sensor capabilities in China HIGH VALUE TO OPTIMIZE COST, POWER CONSUMPTION, PERFORMANCE, AND FUNCTIONALITY AT 28nm I9-FDSOIFORUM SLIDE 15

16 IOT REVENUE SEGMENTATION IN 2020 Services (63% of Revenues) Devices (26%) Semiconductors (9%) Sensors (2%) SEMICONDUCTORS CAN GENERATE HIGH VALUE LEVERAGE I9-FDSOIFORUM SLIDE 16

17 Very large market potential, but highly fragmented No company has developed device that will sell 1B units IoT is collecting data, but with uncertainty regarding: Which data is important? What is done with these data? Who has access to these data? Will these data be stored or are they perishable? In early stage of conception, but market potential is very large China will be large market, but with need for competitive advantages in hardware and software One key capability for participation in market is development and installation of reference designs or development platforms Important to build strong ecosystem NEED TO BE INNOVATIVE IN ANTICIPATING IMPACT OF IOT CHARACTERISTICS OF IOT I9-FDSOIFORUM SLIDE 17

18 Client IoT CLIENT IOT MARKET $90B $82.7B $68.8B $60B $57.5B $48.2B Software $40.4B $33.9B $30B $19.7B $23.7B $28.4B Semiconductor Hardware Analog Processor Connectivity Sensors Memory $0B HIGH GROWTH IS PROJECTED I9-FDSOIFORUM SLIDE 18

19 IoT Semiconductor Hardware IOT SEMICONDUCTOR HARDWARE MARKET $32B $29.3B $26.1B Other $24B $23.2B Home $20.5B $16B $13.6B $15.7B $18.0B Logistics $11.7B Medical $9.8B $8B Consumer $0B I9-FDSOIFORUM SLIDE 19

20 WEARABLE DEVICE EXAMPLES Company Device Connectivity OS/platform Samsung Gear S Bluetooth 4.1 Wi-Fi Samsung Simband Bluetooth Wi-Fi Apple Apple Watch b/g Bluetooth 4.0 Tizen and will be available October 2014 Intended for use with Samsung Architecture for Multimodal Interactions (SAMI) ios and extension of iphone Will be available mid-2015 Fitbit Flex Bluetooth 4.0 Compatible with Android, ios, Mac OS, and Windows GoPro Hero3+ Wi-Fi Compatible with Mac OS and Windows GoPro app enables preview and playback on smartphones and tablets Google Glass b/g Bluetooth Compatible with Android and ios InteraXon Muse headband Bluetooth Compatible with Android 2.3+, ios, Mac OS, Windows 7 and 8, and Ubuntu Linux LTS I9-FDSOIFORUM SLIDE 20

21 WAFER COST COMPARISON ($) Q4/2015 Q4/2016 Q4/ nm bulk CMOS 2, , , nm FD SOI 2, , , nm bulk CMOS 3, , , /14nm FinFET 4, , , nm FD SOI 3, , , FD SOI WAFERS ARE COST COMPETITIVE AT 28nm AND 14nm I9-FDSOIFORUM SLIDE 21

22 COST PER 100M GATES ($) Q4/2015 Q4/2016 Q4/ nm bulk CMOS nm FD SOI nm bulk CMOS /14nm FinFET LARGE DIFFERENCE BETWEEN GATE COST FOR FD SOI AND FINFETS IN Q4/2017 I9-FDSOIFORUM SLIDE 22

23 LIFETIME OF WAFER FABS Number of years Planar (28nm) Digital Mixed-signal (digital-centric) RF Mixed-signal (analog-centric) Embedded nonvolatile memory* Image sensors Other FinFET (16/14nm) Digital 16/14nm Digital 10nm Note: * Includes automotive. FINFET FABS HAVE SHORT LIFETIME I9-FDSOIFORUM SLIDE 23

24 PROCESS COMPARISONS FOR IOT (10 high) FinFET Bulk CMOS FD SOI Low power consumption 7* 3 8 Cost competitiveness Ease of design Breadth of IP 4** 6 5 Supply chain 4*** 7 5 TOTAL Note: * With very tall narrow fins. ** Fragmented 16nm FinFET, 16FF+, 16nm FinFET turbo, and 14nm. *** Short fab lifetime. FD SOI IS BEST OPTION BECAUSE OF LOW POWER AND LOW COST I9-FDSOIFORUM SLIDE 24

25 Participation Cost DESIGN COST PENALTIES WITH FINFETS $240M $212.7M DFM $180M Physical Implementation $120M $101.7M Verification $60M $56.0M Architecture IP Qualification $0M 28nm Planar CMOS 20nm Planar CMOS 16/14nm FinFET COST PREMIUMS IN IMPLEMENTING FINFET DESIGNS I9-FDSOIFORUM SLIDE 25

26 COST METRICS FOR 16/14nm, 10nm, 7nm, AND 5nm WAFER FABS (300mm) 16/14nm 10nm 7nm 5nm Tooling cost per 10K WPM ($M) 1, , , , Depreciation cost per wafer ($)* 2, , , , Depreciation as percent of wafer cost (%) Wafer cost ($) 4, , , , Wafer price, 50% gross profit margin ($) 9, , , , Note: * Five-year depreciation. TOOLING COST FOR 40K WPM AT 5nm WILL BE $16.3B I9-FDSOIFORUM SLIDE 26

27 Wafer Price WAFER PRICES WITH 50% GROSS PROFIT MARGIN $21,000 $19, $14, $14,000 $11, $9, $7,000 $0 16/14nm 10nm 7nm 5nm LARGE INCREASE IN WAFER PRICES NEEDS GROWTH IN REVENUES PER UNIT AREA I9-FDSOIFORUM SLIDE 27

28 REQUIREMENT FOR PRODUCT LIFECYCLE REVENUES 28nm 20nm 16/14nm 10nm 7nm 5nm IC design cost ($M) ,347.5 Growth rate (%) NA Percent total (%) Yield ramp-up cost ($M) Growth rate (%) NA Percent total (%) TOTAL ($M) ,243.4 Growth rate (%) NA Revenue requirement* ($M) , , , ,825.5 Note: * At 7.5X cost. PRODUCT LIFECYCLE REVENUES FOR 5nm WILL BE $16.8B I9-FDSOIFORUM SLIDE 28

29 Revenue Requirement PRODUCT REVENUES BASED ON 7.5X DESIGN AND YIELD RAMP-UP COSTS $18,000M $16,825.5M $12,000M $6,000M $6,562.5M $2,799.8M $0M $1,313.9M $425.1M $679.3M 28nm 20nm 16/14nm 10nm 7nm 5nm SMALL NUMBER OF PRODUCTS CAN REACH $16.8B REVENUES I9-FDSOIFORUM SLIDE 29

30 Revenues, 40K WPM $10B REVENUES PER WAFER WITH REDUCTION IN FEATURE DIMENSIONS $9.4B $7.1B $5.7B $5B $4.7B $0B 16/14nm 10nm 7nm 5nm NEED TO BUILD APPLICATION BASE BEFORE BUILDING MANUFACTURING BASE I9-FDSOIFORUM SLIDE 30

31 FinFETs are promoted because many companies have tried to follow Intel s roadmap Intel has experienced delays and spends $3B per year on process technology development TSMC made some major strategic errors with its 16nm FinFET technology Recovery strategy for TSMC is to try to accelerate 10nm FinFETs However, for 28nm, TSMC is at 150K WPM and will be at 180K WPM in 2015 TSMC s 20nm has relaxed design rules Number of mask levels (10-layer metal) for FinFETs is 60 compared to 48 for FD SOI (approximately) Difference in gate cost is more than 20% Semiconductor industry has 50 years of experience with planar structures 3-D structures are new, and their reliability factors still need to be proven Need to be methodical in ramping new technologies 28nm FD SOI is becoming proven technology 14nm FD SOI has high potential Can probably scale to 10nm TECHNOLOGY SUMMARY TECHNOLOGY ROADMAPS ARE CLEAR FOR FD SOI I9-FDSOIFORUM SLIDE 31

32 Key drivers for mainstream semiconductor business are low cost and low power Bulk CMOS is higher power than FD SOI FinFETs are higher cost than FD SOI Supply chain needs to be built for FD SOI with migration to 14nm and 10nm Intel s manufacturing-related strategies are excellent However, company is operating with different financial metrics TSMC s performance at 28nm is excellent Relaxed technology at 20nm is clever New strategies of TSMC at 10nm will require wide range of technology and business strategies to be addressed By being ahead of other foundry vendors, TSMC builds up its IP and design enablement ecosystem China needs to be innovative in its business strategies with astute use of process technology Focusing on 16/14nm FinFETs can result in large losses Best option is FD SOI CONCLUSION OF STRATEGIC ISSUES KEY ISSUES ARE HOW TO IMPLEMENT AND BUILD UP STRONG PRODUCT PORTFOLIO I9-FDSOIFORUM SLIDE 32

The Impact of IoT on Semiconductor Companies

The Impact of IoT on Semiconductor Companies Advisory The Impact of IoT on Semiconductor Companies Rajesh Mani Director, Strategy and Operations April 15, 2015 The Internet of Things (IoT) has been defined in multiple ways here s our take! The collection

More information

The Internet of Things: Opportunities & Challenges

The Internet of Things: Opportunities & Challenges The Internet of Things: Opportunities & Challenges What is the IoT? Things, people and cloud services getting connected via the Internet to enable new use cases and business models Cloud Services How is

More information

NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK

NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK NanopowerCommunications: Enabling the Internet of Things OBJECTS TALK When objects can both sense the environment and communicate, they become tools for understanding complexity and responding to it swiftly.

More information

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003

Semiconductor design Outsourcing: Global trends and Indian perspective. Vasudevan A Date: Aug 29, 2003 Semiconductor design Outsourcing: Global trends and Indian perspective Vasudevan A Date: Aug 29, 2003 Role of Semiconductors in Products Source: IC Insights Semiconductor content in end product increasing

More information

NXP and the Internet of Things ( IoT ) Andrew C. Russell VP Marketing Greater China

NXP and the Internet of Things ( IoT ) Andrew C. Russell VP Marketing Greater China NXP and the Internet of Things ( IoT ) Andrew C. Russell VP Marketing Greater China Agenda The Internet of Things ( IoT ): a New and Exciting Application Space A typical IoT application Lighting for Home

More information

The potential shake-up in semiconductor manufacturing business models

The potential shake-up in semiconductor manufacturing business models 19 Fotosearch/Getty Images The potential shake-up in semiconductor manufacturing business models The mobile revolution gave a lift to global semiconductor sales, partially enabled by the fabless-foundry

More information

Home and Digital. Jean-Marc Chery Chief Operating Officer

Home and Digital. Jean-Marc Chery Chief Operating Officer Home and Digital Jean-Marc Chery Chief Operating Officer Driving Success 2 Digital Convergence Group turnaround in two waves to double revenues by Q4 2015 from Q4 2013 FD-SOI becoming an industry standard,

More information

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM

ARM Processors and the Internet of Things. Joseph Yiu Senior Embedded Technology Specialist, ARM ARM Processors and the Internet of Things Joseph Yiu Senior Embedded Technology Specialist, ARM 1 Internet of Things is a very Diverse Market Human interface Location aware MEMS sensors Smart homes Security,

More information

IoT: New Opportunities for Semiconductor Industry Growth. Andrew C. Russell Vice President Marketing Greater China

IoT: New Opportunities for Semiconductor Industry Growth. Andrew C. Russell Vice President Marketing Greater China IoT: New Opportunities for Semiconductor Industry Growth Andrew C. Russell Vice President Marketing Greater China Agenda The Internet of Things ( IoT ): An Exciting, Disruptive Technology and Application

More information

IoT Conference Call December 18, 2013 16:30 GMT

IoT Conference Call December 18, 2013 16:30 GMT Dial in details / Replay details: Are on the ARM website at www.arm.com/ir If you have any questions: As we won t be taking questions on the call, please email any questions ahead of time to Investor.relations@arm.com

More information

Introduction to Silicon Labs. November 2015

Introduction to Silicon Labs. November 2015 Introduction to Silicon Labs November 2015 1 Company Background Global mixed-signal semiconductor company Founded in 1996; public since 2000 (NASDAQ: SLAB) >1,100 employees and 11 R&D locations worldwide

More information

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look Gérard MATHERON MIDIS MINATEC 24 avril 2009 1 Advanced Wafer Manufacturing Challenges Advanced Wafer Manufacturing Challenges

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

Creating Affordable Silicon

Creating Affordable Silicon Creating Affordable Silicon John Tinson VP Sales Sondrel 2016 03/05/2016 Presentation Title 1 The IoT Challenge Existing OEM s and start ups would benefit from a custom ASIC to prove their application

More information

MEPTEC. Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico.

MEPTEC. Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico. MEPTEC Ecosystem for MCU, Sensors and MEMS for IoT Tony Massimini Chief of Technology Semico Research Corp. May 20, 2015 tonym@semico.com Outline Impact of Sensors Basic Building Blocks for IoT Sensors

More information

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1]. White Paper Forecasting the 45mm Ramp Up IC Knowledge LLC, PO Box 2, Georgetown, MA 1833 Tx: (978) 352 761, Fx: (978) 352 387, email: info@icknowledge.com Introduction The introduction and ramp up of 45mm

More information

NVM memory: A Critical Design Consideration for IoT Applications

NVM memory: A Critical Design Consideration for IoT Applications NVM memory: A Critical Design Consideration for IoT Applications Jim Lipman Sidense Corp. Introduction The Internet of Things (IoT), sometimes called the Internet of Everything (IoE), refers to an evolving

More information

Complete ASIC & COT Solutions 1986-2008

Complete ASIC & COT Solutions 1986-2008 Complete ASIC & COT Solutions 1986-2008 www.avnet-asic.com Nadav Ben-Ezer Managing Director 1 March 5th, 2008 Core Business ASIC/SoC Design and Implementation RTL Design Sub-system IP Integration RTL to

More information

Connected Intelligence

Connected Intelligence Connected Intelligence Bringing the IoT to Life Steve Wainwright EMEA VP Sales & Marketing J u l. 0 1. 2 0 1 4 External Use The Next Big Thing: The Internet of Things 50 BILLION World Population # Connected

More information

Which ARM Cortex Core Is Right for Your Application: A, R or M?

Which ARM Cortex Core Is Right for Your Application: A, R or M? Which ARM Cortex Core Is Right for Your Application: A, R or M? Introduction The ARM Cortex series of cores encompasses a very wide range of scalable performance options offering designers a great deal

More information

2015. 9. 17 ARTIK TM. MyungKoo Kang (VP) The Ultimate Platform Solution for IoT. Samsung Electronics

2015. 9. 17 ARTIK TM. MyungKoo Kang (VP) The Ultimate Platform Solution for IoT. Samsung Electronics ARTIK TM The Ultimate Platform Solution for IoT 2015. 9. 17 MyungKoo Kang (VP) Samsung Electronics Future Changes from IoT Privacy in the Web Security in SmartGrill 2 IoT Market Forecast ($T) 7 6 5 4 3

More information

Seedling Internet of Things (IoT) and Wearables Platform

Seedling Internet of Things (IoT) and Wearables Platform Seedling Internet of Things (IoT) and Wearables Platform WHITE PAPER Hitseed Oy Version 4.9.2014 HitSeed Introduction HitSeed Oy (www.hitseed.com) was founded and incorporated in 2012 in Finland to focus

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012 Company reports a record first quarter revenue of $166.3 million, achieving strong year-onyear revenue growth of 69% Kirchheim/Teck,

More information

Solutions. Machine-to-Machine. Arrow Electronics. Complete, end-to-end solutions over the life of your product.

Solutions. Machine-to-Machine. Arrow Electronics. Complete, end-to-end solutions over the life of your product. AR R OW E L E CTR O N I CS A MERI CA S CO MP ONENTS machine machine-to- CAPABILITIES Arrow Electronics Americas Components m2m@arrow.com Arrow Electronics Machine-to-Machine Solutions Complete, end-to-end

More information

ZigBee Technology Overview

ZigBee Technology Overview ZigBee Technology Overview Presented by Silicon Laboratories Shaoxian Luo 1 EM351 & EM357 introduction EM358x Family introduction 2 EM351 & EM357 3 Ember ZigBee Platform Complete, ready for certification

More information

Embedded Systems: Technologies and Markets

Embedded Systems: Technologies and Markets Jan 2012 IFT016D Use this report to: Understand the market for embedded technology through 2015, considering macroeconomic factors and dynamics of the markets for various end products. Gain an understanding

More information

Connected Consumer - business update mobile & personal

Connected Consumer - business update mobile & personal Connected Consumer - business update mobile & personal GertJan Kaat General Manager BU Mobile & Personal September 15, 2005 BU Mobile & Personal Business scope and portfolio Market arena and our position

More information

DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS

DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS DIALOG SEMICONDUCTOR REPORTS FOR FOURTH QUARTER AND YEAR ENDED 31 DECEMBER 2012 RESULTS Company confirms full year revenue of $774 million and record full year EBIT of $91 million Kirchheim/Teck, Germany,

More information

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML 2015 Third Quarter Results Veldhoven, the Netherlands Forward looking statements

More information

Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer. October 2009

Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer. October 2009 Wireless Microcontrollers for Environment Management, Asset Tracking and Consumer October 2009 Jennic highlights Jennic is a fabless semiconductor company providing Wireless Microcontrollers to high-growth

More information

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK The data included in the 2014 SIA Factbook helps demonstrate the strength and promise of the U.S. semiconductor industry and why it is critical for policymakers

More information

Building the Smart Future CONFAB 2015

Building the Smart Future CONFAB 2015 Building the Smart Future CONFAB 2015 Jim Feldhan President jimf@semico.com Global Economics Affect Semiconductors Oil Prices World GDP Our environment which we can not control but must manage Consumer

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Harnessing the Benefits of IOT Together. Yoshio Sekiguchi

Harnessing the Benefits of IOT Together. Yoshio Sekiguchi Harnessing the Benefits of IOT Together MEMS Engineer Forum 2015 April 20-21 Yoshio Sekiguchi MEMS Industry Group 2015 - MEMS Industry Group all rights reserved All images used with permission Agenda MEMS

More information

Accenture and Oracle: Leading the IoT Revolution

Accenture and Oracle: Leading the IoT Revolution Accenture and Oracle: Leading the IoT Revolution ACCENTURE AND ORACLE The Internet of Things (IoT) is rapidly moving from concept to reality, as companies see the value of connecting a range of sensors,

More information

Smart Systems: the key enabling technology for future IoT

Smart Systems: the key enabling technology for future IoT Smart Systems: the key enabling technology for future IoT Roberto Zafalon Technology Programmes, Director R&D and Public Affairs, Italy STMicroelectronics IoT Large Scale Pilots Brokerage Workshop London

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

Wireless networks that make sense Low-energy semiconductor solutions for wireless sensor networks

Wireless networks that make sense Low-energy semiconductor solutions for wireless sensor networks Wireless networks that make sense Low-energy semiconductor solutions for wireless sensor networks 2011 www.dialog-semiconductor.com Technology benefits Ultra-low-energy technology based on proven DECT

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

The new 32-bit MSP432 MCU platform from Texas

The new 32-bit MSP432 MCU platform from Texas Technology Trend MSP432 TM microcontrollers: Bringing high performance to low-power applications The new 32-bit MSP432 MCU platform from Texas Instruments leverages its more than 20 years of lowpower leadership

More information

How To Understand The Power Of The Internet Of Things

How To Understand The Power Of The Internet Of Things Next Internet Evolution: Getting Big Data insights from the Internet of Things Internet of things are fast becoming broadly accepted in the world of computing and they should be. Advances in Cloud computing,

More information

Introduction to M2M Technologies What Wireless or Wired Option is Right For Your Company or Products

Introduction to M2M Technologies What Wireless or Wired Option is Right For Your Company or Products Introduction to M2M Technologies What Wireless or Wired Option is Right For Your Company or Products Webinar. December 15, 2015. Syed Zaeem Hosain ( Z ), CTO, Aeris. Syed.Hosain@aeris.net, Twitter: @AerisCTO

More information

Why wearable electronics devices call for a new generation of highly integrated, smart sensor solutions

Why wearable electronics devices call for a new generation of highly integrated, smart sensor solutions Why wearable electronics devices call for a new generation of highly integrated, smart sensor solutions By Rob Johannigman Director (Segment Marketing), ams AG The electronics industry is used to riding

More information

Synopsys Investor Meeting

Synopsys Investor Meeting Synopsys Investor Meeting Lisa Ewbank VP, Investor Relations September 17, 2015 2015 Synopsys, Inc. 1 Forward-Looking Statements This presentation contains forward-looking statements under the safe harbor

More information

www.pwc.com/chinasemicon China s impact on the semiconductor industry: 2015 update

www.pwc.com/chinasemicon China s impact on the semiconductor industry: 2015 update www.pwc.com/chinasemicon China s impact on the semiconductor industry: 2015 update Technology Institute Groups 1-4 January 2016 1 Group 1: China s semiconductor market For the fourth consecutive year China

More information

About Us. Technology Solutions & Services Company. Turn Innovative Ideas into Real Products & Software, Efficiently

About Us. Technology Solutions & Services Company. Turn Innovative Ideas into Real Products & Software, Efficiently Corporate Profile Confidential 2 About Us Technology Solutions & Services Company Turn Innovative Ideas into Real Products & Software, Efficiently A Reliable One Stop Solution Enabler Well-defined Processes

More information

Design for Success: Designing for the Internet of Things with TiWiConnect

Design for Success: Designing for the Internet of Things with TiWiConnect Design for Success: Designing for the Internet of Things with TiWiConnect Today s presenters Scott Lederer Senior Software Architect Dave Burleton Vice President of Marketing LSR.com Today s Agenda Why

More information

Dialog Semiconductor Strategy session. Paris, 3 March 2014

Dialog Semiconductor Strategy session. Paris, 3 March 2014 Dialog Semiconductor Strategy session Paris, 3 March 2014 Forward looking statement This presentation contains forward-looking statements that reflect management s current views with respect to future

More information

Smart Lighting e Tecnologie SSL a cura di Assodel. Sensori e nuove funzionalità entrano nello Smart Lighting

Smart Lighting e Tecnologie SSL a cura di Assodel. Sensori e nuove funzionalità entrano nello Smart Lighting Smart Lighting e Tecnologie SSL a cura di Assodel i e nuove funzionalità entrano nello Smart Lighting Bernhard Niessen ams AG a cura di Giamper Consortium Agenda - Start with the Light and Save Energy

More information

Unleashing the Power of the Internet of Things

Unleashing the Power of the Internet of Things Gemalto M2M Make an M2M wish! Unleashing the Power of the Internet of Things Our M2M portfolio is enabling secure solutions in industries ranging from healthcare, retail services, smart energy, transportation,

More information

SMART PHONES. A review based on Android from Samsung Apple IPhones will have very similar operational characteristics

SMART PHONES. A review based on Android from Samsung Apple IPhones will have very similar operational characteristics SMART PHONES A review based on Android from Samsung Apple IPhones will have very similar operational characteristics Compelling reasons to own one Some are now low cost May cost less than a new battery

More information

Craig-Hallum Alpha Select Conference. October 2011

Craig-Hallum Alpha Select Conference. October 2011 Craig-Hallum Alpha Select Conference October 2011 Forward Looking Statements Except for historical information, statements made in the course of this presentation that state the company s or management

More information

Mentor Embedded IVI Solutions

Mentor Embedded IVI Solutions Mentor Embedded IVI Solutions Infotainment Instrument Cluster Andrew Patterson andrew_patterson@mentor.com Business Development Director Automotive Embedded Software mentor.com/embedded Android is a trademark

More information

STM32L. Ultra-low-power Cortex -M3 devices

STM32L. Ultra-low-power Cortex -M3 devices STM32L Ultra-low-power Cortex -M3 devices STM32L press release STM32L 32- to 128-Kbyte products are entering full production 2 nd half March 2011 Part of industry s largest ARM Cortex -M 32-bit microcontroller

More information

Mobile Security Asia-Pacific Market Research Report, 2014-2020

Mobile Security Asia-Pacific Market Research Report, 2014-2020 Mobile Security Asia-Pacific Market Research Report, 2014-2020 Published Date: July - 2015 Report Code: 1523 B Report Overview Technological advancements in mobile device (smartphone, tablet and laptops)

More information

SNAPPIN.IO. FWR is a Hardware & Software Factory, which designs and develops digital platforms.

SNAPPIN.IO. FWR is a Hardware & Software Factory, which designs and develops digital platforms. SNAPPIN.IO SNAPPIN.IO Snappin is an ecosystem oriented to retail that aims to increase in store sales due to the proactive involvement of users, relying on mechanisms of "Engagement", "Empowerment " and

More information

BUILDING BLOCKS AND CHALLENGES. of the Internet of Things

BUILDING BLOCKS AND CHALLENGES. of the Internet of Things BUILDING BLOCKS AND CHALLENGES of the Internet of Things Agenda SunMan Engineering Introduction What is the IoT? Why now? How can it help my problems? What are the challenges? The SunMan Engineering Solution

More information

MEPTEC Medical Technology Conference The Quantified Self: New Mobile Healthcare Technology for Consumers

MEPTEC Medical Technology Conference The Quantified Self: New Mobile Healthcare Technology for Consumers MEPTEC Medical Technology Conference The Quantified Self: New Mobile Healthcare Technology for Consumers Tony Massimini Chief of Technology Semico Research Corp. Sept 18, 2013 tonym@semico.com Outline

More information

ARM mbed IoT Device Platform. November 3 rd, 2014

ARM mbed IoT Device Platform. November 3 rd, 2014 ARM mbed IoT Device Platform November 3 rd, 2014 1 The Big Picture What? At TechCon 2014 we announced the ARM mbed IoT Device Platform consisting of: An expanded partner ecosystem spanning silicon to the

More information

Acquisition of Novero. Investor presentation 18th December 2015

Acquisition of Novero. Investor presentation 18th December 2015 Acquisition of Novero Investor presentation 18th December 2015 What Novero brings to Laird The acquisition of Novero and LSR rebalances our business, Wireless Systems will now be of a similar scale to

More information

Mobile and Embedded/IoT market Overview and Trends. June 2014

Mobile and Embedded/IoT market Overview and Trends. June 2014 Mobile and Embedded/IoT market Overview and Trends June 2014 2 Ubiquitous Connectivity Quantified Self Ultra HD Media Streaming Global Wireless Broadband Wearables 3 Contactless Payments Wearable Momentum

More information

Wi-Fi, Bluetooth, and the Internet of Things

Wi-Fi, Bluetooth, and the Internet of Things WHITEPAPER Mindtribe Product Engineering 25 Kearny St. Suite 200 San Francisco, CA 94108 Introduction, Bluetooth, and the Internet of Things The Internet of Things (IoT) has an increasing number of our

More information

Windows Embedded Security and Surveillance Solutions

Windows Embedded Security and Surveillance Solutions Windows Embedded Security and Surveillance Solutions Windows Embedded 2010 Page 1 Copyright The information contained in this document represents the current view of Microsoft Corporation on the issues

More information

Sustaining profitable growth Business focus and update

Sustaining profitable growth Business focus and update Sustaining profitable growth Business focus and update Scott McGregor President and Chief Executive Officer Philips Semiconductors Financial Analysts Day 2004 What we mean by sustaining profitable growth

More information

Current and Future Trends in Medical Electronics

Current and Future Trends in Medical Electronics Current and Future Trends in Medical Electronics By Steven Dean, Medical Marketing Director, Texas Instruments www.arrownac.com 800.833.3557 Current and Future Trends in Medical Electronics By Steven Dean,

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Mobile Operating Systems. Week I

Mobile Operating Systems. Week I Mobile Operating Systems Week I Overview Introduction Mobile Operating System Structure Mobile Operating System Platforms Java ME Platform Palm OS Symbian OS Linux OS Windows Mobile OS BlackBerry OS iphone

More information

A Career that Revolutionises & Improves Lives

A Career that Revolutionises & Improves Lives OPTION GROUP B ELECTRONIC ENGINEERING presented by K Radha Krishnan Associate Professor, EEE 25 February 2015 1 A Career that Revolutionises & Improves Lives Scientists investigate that which already is,

More information

Mobile Payments: The Market for Travelers, Unbanked, and No/Low Credit Users

Mobile Payments: The Market for Travelers, Unbanked, and No/Low Credit Users Table of Contents: This report package includes the following reports: Market Opportunity: Social + LTE + Commerce Wi-Fi Direct: Market View of Product Categories, Products, and Vendors Strategic Alliances

More information

Mobile App Testing Guide. Basics of Mobile App Testing

Mobile App Testing Guide. Basics of Mobile App Testing 2015 Mobile App Testing Guide Basics of Mobile App Testing Introduction Technology is on peek, where each and every day we set a new benchmark. Those days are gone when computers were just a machine and

More information

inaccess Networks Microelectronics in Telecom Applications

inaccess Networks Microelectronics in Telecom Applications inaccess Networks Microelectronics in Telecom Applications Christos Georgopoulos, Managing Director, inaccess Networks Member of the Board, Hellenic-SIA November 2007 - Thessaloniki 1 Company Profile Founded

More information

ARM Processor Evolution

ARM Processor Evolution ARM Processor Evolution: Bringing High Performance to Mobile Devices Simon Segars EVP & GM, ARM August 18 th, 2011 1 2 1980 s mobile computing HotChips 1981 4MHz Z80 Processor 64KB memory Floppy drives

More information

Selecting the Right MCU Can Squeeze Nanoamps out of Your Next Internet of Things Application

Selecting the Right MCU Can Squeeze Nanoamps out of Your Next Internet of Things Application Selecting the Right MCU Can Squeeze Nanoamps out of Your Next Internet of Things Application www.silabs.com Introduction Industry leaders predict that the number of connected devices for the Internet of

More information

Hong Kong IC Industry Study Report

Hong Kong IC Industry Study Report Hong Kong IC Industry Study Report Ir Dr. W.K. Lo Vice president 1 Hong Kong IC Industry Study Report Agenda Hong Kong s Competitive advantages and positioning Market opportunities Survey on Hong Kong

More information

WHITEPAPER BEST PRACTICES IN MOBILE APPLICATION TESTING

WHITEPAPER BEST PRACTICES IN MOBILE APPLICATION TESTING WHITEPAPER BEST PRACTICES IN MOBILE APPLICATION TESTING 1 The basic frame of software testers mind-set is attuned to check documentation, functionality, stability, API and performance and make sure that

More information

Integrating Mobile into Your Cross- Platform Strategy with Qt

Integrating Mobile into Your Cross- Platform Strategy with Qt Integrating Mobile into Your Cross- Platform Strategy with Qt Tuukka Ahoniemi Technical Product Marketing Manager tuukka.ahoniemi@theqtcompany.com Qt Developer Days 2014 Agenda Qt and Mobile Platforms

More information

Industrial Roadmap for Connected Machines. Sal Spada Research Director ARC Advisory Group sspada@arcweb.com

Industrial Roadmap for Connected Machines. Sal Spada Research Director ARC Advisory Group sspada@arcweb.com Industrial Roadmap for Connected Machines Sal Spada Research Director ARC Advisory Group sspada@arcweb.com Industrial Internet of Things (IoT) Based upon enhanced connectivity of this stuff Connecting

More information

Designing the Next-Generation of Handheld Devices Class 445 Thursday, September 18th, 2003

Designing the Next-Generation of Handheld Devices Class 445 Thursday, September 18th, 2003 Designing the Next-Generation of Handheld Devices Class 445 Thursday, September 18th, 2003 Andrew Girson 240-558 558-2014 agirson@inhandelectronics.com www.inhandelectronics.com Presentation Focus A survey

More information

Growth through partnerships and licensing technologies

Growth through partnerships and licensing technologies Growth through partnerships and licensing technologies Standards support Innovation Jens N. Albers, CEO AIM-D board member International Conference on Standardization and Innovation @ CERN, Geneva Overview

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

FLASH INSIGHT. Attack of the Future Smart Home Giants The fight of Apple, Google, Amazon, and others for the most customer centric ecosystem

FLASH INSIGHT. Attack of the Future Smart Home Giants The fight of Apple, Google, Amazon, and others for the most customer centric ecosystem October 2015 Shaping the Digital Future FLASH INSIGHT Attack of the Future Smart Home Giants The fight of Apple, Google, Amazon, and others for the most customer centric ecosystem Copyright This document

More information

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS

THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS THE RTOS AS THE ENGINE POWERING THE INTERNET OF THINGS By Bill Graham and Michael Weinstein INNOVATORS START HERE. EXECUTIVE SUMMARY Driven by the convergence of cloud technology, rapidly growing data

More information

Workshop on Android and Applications Development

Workshop on Android and Applications Development Workshop on Android and Applications Development Duration: 2 Days (8 hrs/day) Introduction: With over one billion devices activated, Android is an exciting space to make apps to help you communicate, organize,

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Software as a Service Business Model (Introducing SOA and Web Service)

Software as a Service Business Model (Introducing SOA and Web Service) Software as a Service Business Model Guide Insight into Software as a Service Business Model (Introducing SOA and Web Service) By Sumantra Mukherjee Chief Technology Officer Nature Technologies Pvt Ltd

More information

Tablets in Data Acquisition

Tablets in Data Acquisition Tablets in Data Acquisition Introduction In the drive to smaller and smaller data acquisition systems, tablet computers bring a great appeal. Desktop personal computers gave engineers the power to create

More information

Mobility. Mobility is a major force. It s changing human culture and business on a global scale. And it s nowhere near achieving its full potential.

Mobility. Mobility is a major force. It s changing human culture and business on a global scale. And it s nowhere near achieving its full potential. Mobility arrow.com Mobility This year, the number of mobile devices is expected to exceed the world s population. Soon, smartphones will surpass PCs as the device of choice for Internet access. A startling

More information

STMicroelectronics is pleased to present the. SENSational. Attend a FREE One-Day Technical Seminar Near YOU!

STMicroelectronics is pleased to present the. SENSational. Attend a FREE One-Day Technical Seminar Near YOU! SENSational STMicroelectronics is pleased to present the SENSational Seminar Attend a FREE One-Day Technical Seminar Near YOU! Seminar Sensors and the Internet of Things are changing the way we interact

More information

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2015 SUSS MICROTEC INVESTOR PRESENTATION November 2015 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial performance and earnings of SUSS MicroTec AG and its

More information

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs White Paper March 2009 Table of Contents Introduction......................................................................

More information

ShareLink 200 Setup Guide

ShareLink 200 Setup Guide ShareLink 00 Setup Guide This guide provides instructions for installing and connecting the Extron ShareLink 00. The ShareLink USB 00 Wireless Collaboration Gateway allows anyone to present content from

More information

A Review of Different Comparative Studies on Mobile Operating System

A Review of Different Comparative Studies on Mobile Operating System Research Journal of Applied Sciences, Engineering and Technology 7(12): 2578-2582, 2014 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2014 Submitted: August 30, 2013 Accepted: September

More information

Dialog Semiconductor. Capital Markets Day 16 September 2015, London. connected

Dialog Semiconductor. Capital Markets Day 16 September 2015, London. connected Dialog Semiconductor Capital Markets Day 16 September 2015, London personal portable connected Mobile Systems Udo Kratz SVP Mobile Systems Agenda Introduction Mobile Systems Group Areas of strategic focus

More information

Presented by: Robert Buczkiewicz, Director, Hardware Development, LS Research Sai Yelisetty, Director Sales & Marketing, DSP Group Arik Gur, Product

Presented by: Robert Buczkiewicz, Director, Hardware Development, LS Research Sai Yelisetty, Director Sales & Marketing, DSP Group Arik Gur, Product Presented by: Robert Buczkiewicz, Director, Hardware Development, LS Research Sai Yelisetty, Director Sales & Marketing, DSP Group Arik Gur, Product Manager ULE, DSP Group Avi Barel, Deputy Chairman, ULE

More information

Technical Article. NFiC: a new, economical way to make a device NFC-compliant. Prashant Dekate

Technical Article. NFiC: a new, economical way to make a device NFC-compliant. Prashant Dekate Technical NFiC: a new, economical way to make a device NFC-compliant Prashant Dekate NFiC: a new, economical way to make a device NFC-compliant Prashant Dekate The installed base of devices with Near Field

More information

Intelligent Street lighting management using ZigBee. Martin SCHULTE-HOBEIN Field Application Engineer, EMEA Digi International Email: msh@digi.

Intelligent Street lighting management using ZigBee. Martin SCHULTE-HOBEIN Field Application Engineer, EMEA Digi International Email: msh@digi. Intelligent Street lighting management using ZigBee Martin SCHULTE-HOBEIN Field Application Engineer, EMEA Digi International Email: msh@digi.com Agenda Digi Overview What is ZigBee? Typical M2M Scenario

More information

Siltronic a leading producer of silicon wafers. Fact Book 2016 Investor Relations, June 30, 2016

Siltronic a leading producer of silicon wafers. Fact Book 2016 Investor Relations, June 30, 2016 Siltronic a leading producer of silicon wafers Fact Book 2016 Investor Relations, June 30, 2016 Siltronic AG 2016 The whole electronic value chain is based on semiconductor silicon wafers. Value Chain

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information