T. Suntola: 30 years of ALD ALD 2004, Aug , 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola

Size: px
Start display at page:

Download "T. Suntola: 30 years of ALD ALD 2004, Aug. 16 18, 2004, University of Helsinki, Finland. 30 years of ALD Tuomo Suntola"

Transcription

1 30 years of ALD Tuomo Suntola

2 Key tool for finding the ALE in 1974

3 Key tool for finding the ALE in 1974

4 Tool for the demonstration of ALE in 1974

5 ALE growth of ZnS in Aug/Sept 1974

6 ALE growth of ZnS in Aug/Sept 1974 Zn S

7 ALE growth of ZnS in Aug/Sept 1974 Zn S

8 ALE growth of ZnS in Aug/Sept 1974 Zn S

9 ALE growth of ZnS in Aug/Sept Hexagonal ZnS: monolayer 3.13 Å n=2.36 μm 320 C 0.4 4x10 5 torr 10 4 torr 2x10 2 torr 360 C Zn S 3x10 3 torr 100 C minutes 2 c/s

10 ALE growth of ZnS in Aug/Sept Hexagonal ZnS: monolayer 3.13 Å n=2.36 μm 320 C 0.4 4x10 5 torr 10 4 torr 2x10 2 torr 360 C Zn S 3x10 3 torr 100 C minutes 2 c/s

11 ALE growth of ZnS in Aug/Sept Hexagonal ZnS: monolayer 3.13 Å n=2.36 μm 1/3 x monolayer 320 C 0.4 4x10 5 torr 10 4 torr 2x10 2 torr 360 C Zn S 3x10 3 torr 100 C minutes 2 c/s

12 Milestones in ALE-ALD development Instrumentarium demonstration of ALE for ZnS (Instrumentarium)

13 Milestones in ALE-ALD development Instrumentarium Lohja demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides

14 T. Suntola: 30 years of ALD ALD 2004, Aug , 2004, University of Helsinki, Finland Milestones in ALE-ALD development Instrumentarium Lohja

15 Milestones in ALE-ALD development Instrumentarium Lohja substrate glass passivation layer (ion barrier) dielectric layers light emitting layer, ZnS(Mn) electrodes passivation layer black back layer Structure of thin film electroluminescent display EL- character module

16 Milestones in ALE-ALD development Instrumentarium Lohja demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance

17 Milestones in ALE-ALD development Instrumentarium Lohja

18 Milestones in ALE-ALD development Instrumentarium Lohja Planar International Dr. Ralf Graeffe inspects the new large-area display in 1983

19 Milestones in ALE-ALD development Instrumentarium Lohja Planar International ALD superiority in EL: - very high dielectric strength of the dielectric layers (Al 2 O 3 + TiO 2 ) - pinhole free in large area - hexagonal ZnS; high efficiency, bright yellow color Evaporation, sputtering ALD

20 Milestones in ALE-ALD development Instrumentarium Lohja Planar International demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance introduction of first ALE-EL product production line for flat-panel matrix displays P-250 >> P-400 reactors Commercial production of ALE-EL panels by Lohja > Planar

21 Milestones in ALE-ALD development Instrumentarium Lohja Planar International Ulf Stom and Tuomo Suntola look at a prototype of the new ELdisplay in 1984

22 Milestones in ALE-ALD development Instrumentarium Lohja Elcoteq Planar International demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance introduction of first ALE-EL product production line for flat-panel matrix displays P-250 >> P-400 reactors Commercial production of ALE-EL panels by Lohja > Planar

23 Research activities in ALE-ALD Search for perfection in thin films for EL, ZnS & dielectrics ALE & precursor chemistry Search for perfection in III-V single crystals and supergrid structures Instumentarium, Lohja ALE and precursor chemistry, Helsinki University of Technology Helsinki University of Technology University & corporate groups in Japan University groups in the US

24 Research activities in ALE-ALD Superlattice by NEC, Japan

25 Research activities in ALE-ALD 2,0 Growth rate (ML/cycle) 1,5 (c) (a) (b) 1,0 0,5 (d) (e) Process temperature ( C) ALD Processing window for GaAS in different reactors

26 Research activities in ALE-ALD Visit to prof. Nishizawa s MLE-laboratory in Tohoku 1989

27 Research activities in ALE-ALD ALE conference in Helsinki 1990: From left: Prof. Konagai, Dr. Nykänen, Dr. Suntola, Prof. Niinistö, Prof. Nishizawa, Prof. & Mrs. Bedair

28 Milestones in ALE-ALD development Instrumentarium Lohja Elcoteq Planar International Microchemistry demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance introduction of first ALE-EL product production line for flat-panel matrix displays P-250 >> P-400 reactors Commercial production of ALE-EL panels by Lohja > Planar F-120 reactors (Microchemistry) demonstration of ALE-CdTe solar cell performance introduction of ALE for catalytic surfaces

29 Research goals in ALE-ALD Search for perfection in thin films for EL, ZnS & dielectrics ALE & precursor chemistry Search for perfection in III-V single crystals and supergrid structures Heterogeneous catalysts, advanced surface chemistry Instumentarium, Lohja ALE and precursor chemistry, Helsinki University of Technology Helsinki University of Technology University & corporate groups in Japan University groups in the US Microchemistry, Helsinki University of Technology Colorado State University

30 Research goals in ALE-ALD Heterogeneous catalysts, advanced surface chemistry Microchemistry, Helsinki University of Technology (a) < 250 'C (b) > 300 'C Starting surface + TiCl4 + H2O HCl H H H H Cl Cl Cl Cl Cl O O Cl O O HCl + TiCl4 + H2O HCl HCl H O Si H H H H O O O O O Si Si Si Si Si Ti O O Si Si Ti O Si H H O O O Si Si Si Ti O O Si Si Ti O Si H H O O O Si Si Si Cl Si TiO2 H H H TiO2 nucl O O O O nucl Si Si Si Si Si Si Si Si H H O O Si Si O Si TiO 2 on silica by Suvi Haukka

31 Research goals in ALE-ALD Heterogeneous catalysts, advanced surface chemistry Microchemistry, Helsinki University of Technology precursor A precursor B surface spiecas/ support ZrCl 4 / SiO 2 WOCl 4 / Al 2 O 3 Ni(acac) 2 / Al 2 O 3 Cr(acac) 3 / SiO 2 Mg(thd) 2 / SiO 2 saturation density (atoms/nm 2 ) at top 1,44 0,04 1,44 at bottom 0,04 1,81 0,06 1,81 0,05 2,52 0,25 2,25 0,11 0,32 0,01 0,30 0,00 0,98 0,08 0,82 0,08 Uniformity of surface saturation in on porous catalyst carriers E-L. Lakomaa, M. Lindblad

32 Research goals in ALE-ALD Search for perfection in thin films for EL, ZnS & dielectrics ALE & precursor chemistry Search for perfection in III-V single crystals and supergrid structures Heterogeneous catalysts, advanced surface chemistry Instumentarium, Lohja ALE and precursor chemistry, Helsinki University of Technology Helsinki University of Technology University & corporate groups in Japan University groups in the US Microchemistry, Helsinki University of Technology Colorado State University Diffusion barriers and passivation layers enabling conformality in semiconductor devices Ultra-thin and high-k dielectrics for semiconductor devices Microchemistry, Helsinki University of Helsinki Semiconductor equipment manufacturers University groups Semiconductor manufacturers

33 Research goals in ALE-ALD Search for perfection in thin films for EL, ZnS & dielectrics ALE & precursor chemistry Search for perfection in III-V single crystals and supergrid structures Heterogeneous catalysts, advanced surface chemistry Instumentarium, Lohja ALE and precursor chemistry, Helsinki University of Technology Helsinki University of Technology University & corporate groups in Japan University groups in the US Microchemistry, Helsinki University of Technology Colorado State University Diffusion barriers and passivation layers enabling conformality in semiconductor devices Ultra-thin and high-k dielectrics for semiconductor devices Microchemistry, Helsinki University of Helsinki Semiconductor equipment manufacturers University groups Semiconductor manufacturers Molecular lay-up of oxide surfaces Prof. Aleskovski, St. Petersburg

34 Research goals in ALE-ALD 2500 A Al 2 O A Diffusion barriers and passivation layers enabling conformality in semiconductor devices Ultra-thin and high-k dielectrics for semiconductor devices Microchemistry, Helsinki University of Helsinki Semiconductor equipment manufacturers University groups Semiconductor manufacturers

35 Research goals in ALE-ALD Diffusion barriers and passivation layers enabling conformality in semiconductor devices Ultra-thin and high-k dielectrics for semiconductor devices Microchemistry, Helsinki University of Helsinki Semiconductor equipment manufacturers University groups Semiconductor manufacturers

36 Research goals in ALE-ALD SrTiO 3 Sr(C 5i Pr 3 H 2 ) 2 (THF) Diffusion barriers and passivation layers enabling conformality in semiconductor devices Ultra-thin and high-k dielectrics for semiconductor devices Microchemistry, Helsinki University of Helsinki Semiconductor equipment manufacturers University groups Semiconductor manufacturers

37 Milestones in ALE-ALD development Instrumentarium Lohja Elcoteq Planar International Microchemistry ASM Nanofin Picosun demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance introduction of first ALE-EL product production line for flat-panel matrix displays P-250 >> P-400 reactors Commercial production of ALE-EL panels by Lohja > Planar F-120 reactors (Microchemistry) demonstration of ALE-CdTe solar cell performance introduction of ALE for catalytic surfaces F-450, F-850 reactors for large surfaces F-200 reactors for wafers Wafer cluster tool reactors (ASM) F-120 reactors (Nanofin) R-1 reactors (Picosun)

38 Development of ALD reactors F-120 reactors in Microchemistry in late 1980 s

39 Development of ALD reactors F-850 reactor, Microchemistry in 1998 Pulsar, ASM

40 Milestones in ALE-ALD development Instrumentarium Lohja Elcoteq Planar International Microchemistry ASM Nanofin Picosun demonstration of ALE for ZnS (Instrumentarium) travelling wave reactor (Lohja) exchange reactions for ZnS and oxides introduction of ALE-EL device performance introduction of first ALE-EL product production line for flat-panel matrix displays P-250 >> P-400 reactors Commercial production of ALE-EL panels by Lohja > Planar F-120 reactors (Microchemistry) demonstration of ALE-CdTe solar cell performance introduction of ALE for catalytic surfaces F-450, F-850 reactors for large surfaces F-200 reactors for wafers Wafer cluster tool reactors (ASM) F-120 reactors (Nanofin) R-1 reactors (Picosun)

41 Development of ALD reactors ALD R1 workstation by Picosun 2004

42 Moore s law for the complexity of IC s From 1960 to 1970, complexity is the number of components as initially described by Moore. After 1970, it was often cited as the number of bits in a DRAM or the number of transistors in a microprocessor. Source: Semiconductor International

43 Moore s law for the complexity of IC s Introduction of ALD From 1960 to 1970, complexity is the number of components as initially described by Moore. After 1970, it was often cited as the number of bits in a DRAM or the number of transistors in a microprocessor. Source: Semiconductor International

44 Moore s law for the complexity of IC s Introduction of ALD From 1960 to 1970, complexity is the number of components as initially described by Moore. After 1970, it was often cited as the number of bits in a DRAM or the number of transistors in a microprocessor. Source: Semiconductor International

45 Moore s law for the complexity of IC s Introduction of ALD ALD contribution to the learning curve From 1960 to 1970, complexity is the number of components as initially described by Moore. After 1970, it was often cited as the number of bits in a DRAM or the number of transistors in a microprocessor. Source: Semiconductor International

46 TUOMO SUNTOLA RECEIVES EUROPEAN SEMI AWARD FOR 2004 SEMI Honors Pioneer in Atomic Layer Deposition Techniques for Semiconductors paved the way for the development of nanoscale semiconductor devices Stanley Myers, President & CEO, SEMI. Walter Roessger, President, SEMI Europe, Tuomo Suntola, and Mart Graef, Chairman of the award committee

47 30 years of ALD Tuomo Suntola Thank you for your attention with wishes of inspiration, courage and success in your work on the ALD

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ ZͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014

ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 ALD from Lab to Fab Atom Level Control for Industrial Thin Films Kokkola Material Week September 23, 2014 Pasi Meriläinen Table of Contents What is ALD? The early years 1st wave In the middle 2nd wave

More information

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by

Dr. Tuomo Suntola, They just asked me to propose. PICOSUN NEWS June 2008. Story of Atomic Layer Deposition by PICOSUN NEWS June 2008 Story of Atomic Layer Deposition by Dr. Tuomo Suntola, the Inventor of the ALD Method and a Member of Picosun Board of Directors Picosun Newsletter introduces the latest news of

More information

Introduction to ALD Lab Dresden and Atomic Layer Deposition

Introduction to ALD Lab Dresden and Atomic Layer Deposition Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM Introduction to ALD Lab Dresden and Atomic Layer Deposition PROGRAM 1. Introduction to Atomic Layer Deposition a. ALD Historical background

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

AC coupled pitch adapters for silicon strip detectors

AC coupled pitch adapters for silicon strip detectors AC coupled pitch adapters for silicon strip detectors J. Härkönen1), E. Tuovinen1), P. Luukka1), T. Mäenpää1), E. Tuovinen1), E. Tuominen1), Y. Gotra2), L. Spiegel2) Helsinki Institute of Physics, Finland

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Electron Beam and Sputter Deposition Choosing Process Parameters

Electron Beam and Sputter Deposition Choosing Process Parameters Electron Beam and Sputter Deposition Choosing Process Parameters General Introduction The choice of process parameters for any process is determined not only by the physics and/or chemistry of the process,

More information

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 ADVANCED WAFER PROCESSING WITH NEW MATERIALS ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015 SAFE HARBOR STATEMENTS Safe Harbor Statement under the U.S. Private Securities

More information

OLED display. Ying Cao

OLED display. Ying Cao OLED display Ying Cao Outline OLED basics OLED display A novel method of fabrication of flexible OLED display Potentials of OLED Suitable for thin, lightweight, printable displays Broad color range Good

More information

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics

Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Al 2 O 3, Its Different Molecular Structures, Atomic Layer Deposition, and Dielectrics Mark Imus Douglas Sisk, Ph.D., Mentor Marian High School RET Program University of Notre Dame Project Proposal Tunneling

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing

For Touch Panel and LCD Sputtering/PECVD/ Wet Processing production Systems For Touch Panel and LCD Sputtering/PECVD/ Wet Processing Pilot and Production Systems Process Solutions with over 20 Years of Know-how Process Technology at a Glance for Touch Panel,

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Danilo Manstretta danilo.manstretta@unipv.it microlab.unipv.it Outline Passive components Resistors Capacitors Inductors Printed circuits technologies

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

How To Make A Thin Film Electroluminescent Display (Tfel) Display

How To Make A Thin Film Electroluminescent Display (Tfel) Display displays for extreme conditions Continuing to make LEGENDS IN DISPLAY #1 in ALD thin film technology Proudly presenting our new name of Lumineq, the team behind the development and evolution of the world

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

ALD Atomic Layer Deposition

ALD Atomic Layer Deposition Research - Services ALD Atomic Layer Deposition Atomic Layer Deposition is a deposition process for assembling of thin films on the nanometer scale. The self-limiting deposition of atomic monolayers occurs

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer Robert G. Hunsperger Integrated Optics Theory and Technology Fourth Edition With 195 Figures and 17 Tables Springer Contents 1. Introduction 1 1.1 Advantages of Integrated Optics 2 1.1.1 Comparison of

More information

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30

Chemical Synthesis. Overview. Chemical Synthesis of Nanocrystals. Self-Assembly of Nanocrystals. Example: Cu 146 Se 73 (PPh 3 ) 30 Chemical Synthesis Spontaneous organization of molecules into stable, structurally well-defined aggregates at the nanometer length scale. Overview The 1-100 nm nanoscale length is in between traditional

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten

Advanced Energy Storage Materials for Battery Applications. Advanced Materials December 12 th, 2012. Peter H.L. Notten Advanced Energy Storage Materials for Battery Applications Advanced Materials December 12 th, 2012 Peter H.L. Notten Eindhoven University of Technology p.h.l.notten@tue.nl >> Focus on sustainability, innovation

More information

Silicon Wafer Solar Cells

Silicon Wafer Solar Cells Silicon Wafer Solar Cells Armin Aberle Solar Energy Research Institute of Singapore (SERIS) National University of Singapore (NUS) April 2009 1 1. PV Some background Photovoltaics (PV): Direct conversion

More information

MBA Lattice Upgrade: New Opportunities for In-situ High Energy (30 kev 90 kev) X-ray Structural Analyses

MBA Lattice Upgrade: New Opportunities for In-situ High Energy (30 kev 90 kev) X-ray Structural Analyses MBA Lattice Upgrade: New Opportunities for In-situ High Energy (30 kev 90 kev) X-ray Structural Analyses David Tiede Chemical Sciences and Engineering Division Argonne National Laboratory Workshop on New

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

Organic semiconductors

Organic semiconductors Plastic (Organic) Solar Cells: Accomplishments, Challenges, and Strategies Sumit Chaudhary Assistant Professor Department of Electrical and Computer Engineering Materials Science and Engineering Iowa State

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

How MOCVD. Works Deposition Technology for Beginners

How MOCVD. Works Deposition Technology for Beginners How MOCVD Works Deposition Technology for Beginners Contents MOCVD for Beginners...3 MOCVD A Definition...4 Planetary Reactor Technology...5 Close Coupled Showerhead Technology...6 AIXTRON MOCVD Production

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons

High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons High Rate Oxide Deposition onto Web by Reactive Sputtering from Rotatable Magnetrons D.Monaghan, V. Bellido-Gonzalez, M. Audronis. B. Daniel Gencoa, Physics Rd, Liverpool, L24 9HP, UK. www.gencoa.com,

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Other layers below one being etch Masking

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

histaris Inline Sputtering Systems

histaris Inline Sputtering Systems vistaris histaris Inline Sputtering Systems Inline Sputtering Systems with Vertical Substrate Transport Modular System for Different Applications VISTARIS Sputtering Systems The system with the brand name

More information

From Space to Earth: CPV Concentrator Photovoltaics. Dr. Gerhard Strobl. Milano, 07 May 2013

From Space to Earth: CPV Concentrator Photovoltaics. Dr. Gerhard Strobl. Milano, 07 May 2013 From Space to Earth: CPV Concentrator Photovoltaics Dr. Gerhard Strobl Milano, 07 May 2013 1 Table of Contents Company Solar Cells for Space Terrestrial Concentrator Photovoltaics (CPV) Conclusion 2 History

More information

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI 1 Outline and motivation SA mono and multilayers on silica and silicon native oxide The chemistry of the process the effect of moisture the effect of

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH

Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive. Wolfgang Hentsch, Dr. Reinhard Fendler. FHR Anlagenbau GmbH Neuere Entwicklungen zur Herstellung optischer Schichten durch reaktive Sputtertechnologien Wolfgang Hentsch, Dr. Reinhard Fendler FHR Anlagenbau GmbH Germany Contents: 1. FHR Anlagenbau GmbH in Brief

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry Thomas Waechtler a, Bernd Gruska b, Sven Zimmermann a, Stefan E. Schulz a, Thomas Gessner a a Chemnitz University

More information

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors

Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Fabrication and Characterization of N- and P-Type a-si:h Thin Film Transistors Engineering Practical Jeffrey Frederick Gold Fitzwilliam College University of Cambridge Lent 1997 FABRCATON AND CHARACTERZATON

More information

Graphene a material for the future

Graphene a material for the future Graphene a material for the future by Olav Thorsen What is graphene? What is graphene? Simply put, it is a thin layer of pure carbon What is graphene? Simply put, it is a thin layer of pure carbon It has

More information

CRYSTAL DEFECTS: Point defects

CRYSTAL DEFECTS: Point defects CRYSTAL DEFECTS: Point defects Figure 10.15. Point defects. (a) Substitutional impurity. (b) Interstitial impurity. (c) Lattice vacancy. (d) Frenkeltype defect. 9 10/11/004 Ettore Vittone- Fisica dei Semiconduttori

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

40 Years of ALD in Finland Photos, Stories. 40 Years of ALD in Finland Photos, Stories

40 Years of ALD in Finland Photos, Stories. 40 Years of ALD in Finland Photos, Stories 40 Years of ALD in Finland Photos, Stories Exhibition by the Finnish Centre of Excellence in Atomic Layer Deposition 40 Years of ALD in Finland 40 Years of ALD in Finland Photos, Stories Exhibition by

More information

Vacuum Evaporation Recap

Vacuum Evaporation Recap Sputtering Vacuum Evaporation Recap Use high temperatures at high vacuum to evaporate (eject) atoms or molecules off a material surface. Use ballistic flow to transport them to a substrate and deposit.

More information

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION. A.J. BALLONI - Fundação Centro Tecnológico para Informática/ Instituto de Microeletrônica Laboratório de Litografia C.P. 6162 - Campinas/S.P.

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES

THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES THIN FILM DEPOSITION TECHNIQUES STEPS TOWARDS MORE SUSTAINABLE PACKAGES Mika Vähä Nissi 1, Terhi Hirvikorpi 1, Tuomas Mustonen 1, Maarit Karppinen 2, Ali Harlin 1 1 VTT Technical Research Centre of Finland,

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

MOS (metal-oxidesemiconductor) 李 2003/12/19

MOS (metal-oxidesemiconductor) 李 2003/12/19 MOS (metal-oxidesemiconductor) 李 2003/12/19 Outline Structure Ideal MOS The surface depletion region Ideal MOS curves The SiO 2 -Si MOS diode (real case) Structure A basic MOS consisting of three layers.

More information

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon)

Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Impact of Materials Prices on Cost of PV Manufacture Part I (Crystalline Silicon) Nigel Mason SMEET II Workshop, London 27 Feb 2013 content Brief introduction to Solar PV Technologies Part I - Crystalline

More information

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include:

CVD SILICON CARBIDE. CVD SILICON CARBIDE s attributes include: CVD SILICON CARBIDE CVD SILICON CARBIDE is the ideal performance material for design engineers. It outperforms conventional forms of silicon carbide, as well as other ceramics, quartz, and metals in chemical

More information

Silicon photonics: low cost and high performance. L. Pavesi 18-11-10

Silicon photonics: low cost and high performance. L. Pavesi 18-11-10 Silicon photonics: a new technology platform to enable low cost and high performance photonics Outline Silicon Photonics State of the art Silicon Photonics for lab-on-a-chip NanoSilicon photonics Conclusion

More information

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray

Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Nanoparticle Deposition on Packaging Materials by the Liquid Flame Spray Hannu Teisala a, Mikko Tuominen a, Mikko Aromaa b, Jyrki M. Mäkelä b, Milena Stepien c, Jarkko J. Saarinen c, Martti Toivakka c

More information

Materials for Organic Electronic. Jeremy Burroughes FRS FREng

Materials for Organic Electronic. Jeremy Burroughes FRS FREng Materials for Organic Electronic Applications Jeremy Burroughes FRS FREng Introduction Organic Thin Film Transistors Organic Solar Cells and Photodiodes All Printed OLED Summary 4k2k 56 Displays Panasonic

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

Displays. Cathode Ray Tube. Semiconductor Elements. Basic applications. Oscilloscope TV Old monitors. 2009, Associate Professor PhD. T.

Displays. Cathode Ray Tube. Semiconductor Elements. Basic applications. Oscilloscope TV Old monitors. 2009, Associate Professor PhD. T. Displays Semiconductor Elements 1 Cathode Ray Tube Basic applications Oscilloscope TV Old monitors 2 1 Idea of Electrostatic Deflection 3 Inside an Electrostatic Deflection Cathode Ray Tube Gun creates

More information

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008

Introduction OLEDs OTFTs OPVC Summary. Organic Electronics. Felix Buth. Walter Schottky Institut, TU München. Joint Advanced Student School 2008 Felix Buth Joint Advanced Student School 2008 Outline 1 Introduction Difference organic/inorganic semiconductors From molecular orbitals to the molecular crystal 2 Organic Light Emitting Diodes Basic Principals

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

HSMG. There is only one true graphene. www.advancedgrapheneproducts.com

HSMG. There is only one true graphene. www.advancedgrapheneproducts.com HSMG TM There is only one true graphene www.advancedgrapheneproducts.com 03. 05. 13. 20. 02 Graphene About AGP About HSMG Collaboration and contact What is graphene Graphene is a flat structure built with

More information

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research Ultra-high Barrier Plastic MSE5420 Flexible Electronics Martin Yan, GE Global Research Outline Introduction to plastic substrate and need for barrier Barrier technologies WVTR measurement technologies

More information

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing

Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing Dependence of the thickness and composition of the HfO 2 /Si interface layer on annealing CINVESTAV-UNIDAD QUERETARO P.G. Mani-González and A. Herrera-Gomez gmani@qro.cinvestav.mx CINVESTAV 1 background

More information

Lecture 15 - application of solid state materials solar cells and photovoltaics. Copying Nature... Anoxygenic photosynthesis in purple bacteria

Lecture 15 - application of solid state materials solar cells and photovoltaics. Copying Nature... Anoxygenic photosynthesis in purple bacteria Lecture 15 - application of solid state materials solar cells and photovoltaics. Copying Nature... Anoxygenic photosynthesis in purple bacteria Simple example, but still complicated... Photosynthesis is

More information

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication

Chemical Reactions During Wet-Etching Process of LSMO/PZT/LSMO-Structured Device Fabrication Ferroelectrics, 380:1, 97-101, 2009 Reprints available directly from the publisher DOI: 10.1080/00150190902873295 UR L: http://dx.doi.org/10.1080/00150190902873295 2009 Taylor & Francis ISSN: 0015-0193

More information

Figure 10.1. Process flow from starting material to polished wafer.

Figure 10.1. Process flow from starting material to polished wafer. Figure 10.1. Process flow from starting material to polished wafer. 1/11/003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XI 1 Starting material: silicon dioxide (SiO ): pure form of sand (quartzite)

More information

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS

SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS SOLAR ELECTRICITY: PROBLEM, CONSTRAINTS AND SOLUTIONS The United States generates over 4,110 TWh of electricity each year, costing $400 billion and emitting 2.5 billion metric tons of carbon dioxide (Yildiz,

More information

Is efficiency the only important aspect to solar energy?

Is efficiency the only important aspect to solar energy? Is efficiency the only important aspect to solar energy? Michael G. Debije Chemical Engineering and Chemistry Functional Materials and Devices (SFD) Eindhoven University of Technology April 21, 2012 Alumni

More information

New materials for PV Mirjam Theelen

New materials for PV Mirjam Theelen New materials for Mirjam Theelen 2 A little bit about myself Born in Eindhoven 2001-2007 Study chemistry in Nijmegen Solid State Chemistry Physical Chemistry 2007-present Scientist at TNO (Eindhoven) Research

More information

From Novelty to Ubiquity: Challenges & Strategies of Scaling the LCD Platform

From Novelty to Ubiquity: Challenges & Strategies of Scaling the LCD Platform From Novelty to Ubiquity: Challenges & Strategies of Scaling the LCD Platform Dr. Peter Bocko CTO Corning Glass Technologies ARPA-E Workshop on Micro-PV 8 May 2014 What is an active matrix LCD? What product

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Introduction to Picosun ALD

Introduction to Picosun ALD Introduction to Picosun ALD Our mission is to provide our customers with user-friedly, reliable and productive ALD process tools Picosun 1. Picosun 2. Atomic Layer Deposition 3. ALD in Finland 4. Thin

More information

THIN FILM MATERIALS TECHNOLOGY

THIN FILM MATERIALS TECHNOLOGY THIN FILM MATERIALS TECHNOLOGY Sputtering of Compound Materials by Kiyotaka Wasa Yokohama City University Yokohama, Japan Makoto Kitabatake Matsushita Electric Industrial Co., Ltd. Kyoto, Japan Hideaki

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE 6450 - Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Abdullah GÖKTAŞ, Ph. D. -*- CURRICULUM VITAE-* 01.05.2015

Abdullah GÖKTAŞ, Ph. D. -*- CURRICULUM VITAE-* 01.05.2015 Name and Last Name: Abdullah GÖKTAŞ Date and Place of Birth: 24 April 1981, Sanliurfa (Turkey) Marital Status: Married with 1 children Foreign Languages: English, Japanese (Basic level) Address: Harran

More information

Philips Lumiblade The World of OLED Lighting

Philips Lumiblade The World of OLED Lighting Philips Lumiblade The World of OLED Lighting Philips Lumiblade The World of OLED Lighting Content What are OLEDs? Benefits of OLEDs Realised Projects Application Areas The Future OLEDs What is OLED? OLED

More information

PRDUCT SPECIFICATON TFT LCD MODULE Model : ELT240320ATP

PRDUCT SPECIFICATON TFT LCD MODULE Model : ELT240320ATP PRDUCT SPECIFICATON TFT LCD MODULE Model : ELT240320ATP 2.8 inch TFT LCD with Touch Screen This specification is subject to change without notice. 2 Contents Page 1. LCM Specification.....3 2. Functional

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition

Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Applied Surface Science 186 2002) 453±457 Exploring the deposition of oxides on silicon for photovoltaic cells by pulsed laser deposition Lianne M. Doeswijk a,*, Hugo H.C. de Moor b, Horst Rogalla a, Dave

More information