A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector

Size: px
Start display at page:

Download "A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector"

Transcription

1 JOURNAL OF ELECTRONIC SCIENCE AND TECHNOLOGY, VOL. 10, NO. 1, MARCH A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector Chao-Ye Wen, Zhi-Ge Zou, Wei He, Jian-Ming Lei, and Xue-Chen Zou Abstract The need for wide-band clock and data recovery (CDR) circuits is discussed. A 2 Gbps to 12 Gbps continuous-rate CDR circuit employing a multi-mode voltage-control oscillator (VCO), a frequency detector, and a phase detector (FD&PD) is described. A new automatic frequency band selection (FBS) without external reference clock is proposed to select the appropriate mode and also solve the instability problem when the circuit is powering on. The multi-mode VCO and FD/PD circuits which can operate at full-rate and half-rate modes facilitate CDR with six operation modes. The proposed CDR structure has been modeled with MATLAB and the simulated results validate its feasibility. Index Terms Clock and data recovery, frequency band selection, frequency detector, phase detector. 1. Introduction The clock and data recovery (CDR) circuit plays an important role in wired communication systems. With the wave-length division multiplexing technique, the information can be exchanged at different bit rates in optical domain. In addition, since the power scales down as the operating frequency decreases, low speed operations can substantially reduce the power consumption of the chips. In order to meet the requirements of both high and low speed transmission, a certain type of wide-range CDR is essential to the serial link communication [1]. At last, this wide-range CDR circuit should meet the data rate requirements of various standards so that the cost of link system could be reduced [2]. These reasons serve as the Manuscript received June 20, 2011; revised August 31, This work was supported by the Hubei Natural Science Foundation of China under Grant No. 2010CDB02706 and the Fundamental Research Funds for the Central Universities under Grant No. C2009Q060. C.-Y. Wen and W. He are with the Graduate School, Huazhong University of Science and Technology, Wuhan , China ( wenchaoye1987@163.com; hewei0019@126.com). Z.-G. Zou, J.-M. Lei, and X.-C. Zou are with the Department of Electronic Science and Technology, Huazhong University of Science and Technology, Wuhan , China ( zouzhige@mail.hust.edu.cn; leijianming@mail.hust.edu.cn; and estxczou@mail.hust.edu.cn). Digital Object Identifier: /j.issn X motivation of designing a wide-band CDR circuit. Several techniques have been used to broaden the CDR bandwidth. In [3], a dual-mode voltage-control-oscillator (VCO) with two central frequencies was used to implement the CDR circuit. However, due to the restrictions of jitter characteristics, the gain of the VCO cannot be high [4], which makes these CDR circuits operate at isolated rates. In [5], a VCO with more modes was adopted in order to make CDR s range continuous. Compared with the architecture used in [3] and [5], the proposed CDR includes not only a multi-modes VCO but also a new frequency detector and a new phase detector (FD&PD). The FD&PD can operate at full-rate and halfrate modes. With this improvement, a 2 Gbps to 12 Gbps continuous-rate CDR circuit without a reference clock is realized. The difficulty of the wide-band continuous-rate CDR circuit is how to choose an appropriate operating frequency band. To solve the problem, a new frequency band selector (FBS) is proposed. In addition, how to reduce the dual-modes FD&PD s power consumption is also considered. The paper is arranged as followed. Section 2 describes the CDR architecture. Operation principle and details of the building block are discussed in Section 3. The simulation results are shown in Section 4. Section 5 gives the conclusion of this work. 2. CDR Architecture Six modes of the proposed CDR are summarized in Table 1. The CDR circuit contains four-mode VCO and dual-mode FD&PD. Fig. 1 shows the block diagram of the CDR circuit, consisting of the proposed FBS, dual-mode FD&PD, a charge pumps (CPs), a second-order low-pass filter (LPF), and a multi-mode VCO. And the FBS consists of a coarse frequency detection and a control logic. The CDR design in this paper utilizes a novel FBS to judge an approximate scope of data rate, and create control signals to select an appropriate mode of FD&PD and VCO. The enable signal (EN) disables FBS when a mode has been selected. How to select a mode to solve the instability problem when the circuit is powering on must be carefully considered in this circuit.

2 68 JOURNAL OF ELECTRONIC SCIENCE AND TECHNOLOGY, VOL. 10, NO. 1, MARCH 2012 Table 1: Six modes of the proposed CDR Mode 1 Mode 2 Mode 3 Mode 4 Mode 5 Mode 6 Data rate (Gbps) Center-frequency of VCO (GHz) FD/PD Full-rate Full-rate Half-rate Half-rate Half-rate Half-rate Fig. 1. Architecture of the CDR. Fig. 2. Proposed frequency band selector. Fig. 3. Coarse frequency detector. 3. Building Blocks of the CDR 3.1 Frequency Band Selector The proposed FBS is shown in Fig. 2. In order to select an appropriate mode when the circuit is powering on, FBS should have the ability of detecting frequency. But a conventional rotational frequency detector has a limited acquisition range of about ±50%, and is susceptible to harmonic locking [6], so it can not satisfy this application. This paper presents a new coarse frequency detector (CFD) to solve the problem. The CFD counts the presence of rising edge during ΔT as shown in Fig. 3. N can be expressed as N kfδ T (1) where f is data rate and k is transition rate. f can be concluded from (1) when the values of N, k, and ΔT are already known. When data type is non-return-to-zero (NRZ) pseudo-random-binary-sequence (PRBS) or NRZ clock pattern, k is 0.25 or 0.5, respectively [7]. The delay cell creates ΔT, the six D flip-flops (DFFs) sample the output of CFD after ΔT, obtaining the control signals of FD&PD and VCO. Meanwhile, OR_1 generates EN to disable FBS. If the ΔT is too long, the counter would need more digits and consume more power; but if the ΔT is too short, the counter could not satisfy the application of wideband frequency detection. Based on the frame structure of IEEE 802.3, which has 7 bytes clock pattern in the front, k is 0.5. We can obtain ΔT=2 ns from (1). Supposing N f, as a result, a four bit binary counter (B3B2B1B0) would be enough. T1 is the instable period when the circuit is powering on as shown in Fig. 4. Unfortunately, T 1 is uncertain, so if CFD works immediately when the circuit is powering on, it would obtain a wrong result, as shown in Fig. 4 and. A NOR_1 is added into the circuit to solve this problem, which makes sure that CFD starts to work when B3B2B1B0=0000 and T 1 no longer affects the frequency detection result, as shown in Fig. 4 (c). 3.2 Frequency Detector The proposed FD is shown in Fig. 5. Signals from FBS control S 1 and S 2. When S 1 is on and S 2 is off, FD is a rotary frequency detector in [3]; in contrary FD works as half-rate mode like [8]. We can conclude from Table 1 that Mode 3 and Mode 1 have the maximum 20% offset between data rate and VCO center-frequency compared with other modes. According to [7] and [8], the FD can satisfy this offset. 3.3 Phase Detector PD starts to work when VCO s frequency is within PD s acquisition band. The proposed dual-mode PD is shown in Fig. 5. When S 1 is on and S 2 is off, PD works in full-rate mode and ERROR_1 and REF_1 are effective. The two latches in dotted line box form master-slave DFF just like Hogge full-rate PD dose. In contrary, PD works at half-rate mode. Compared with the method in [4], the proposed PD consumes less power and saves area.

3 WEN et al.: A 2Gbps to 12Gbps Wide-Range CDR with Automatic Frequency Band Selector 69 (c) Fig. 4. T 1 instable period: wrong result when T 1 is long, wrong result when T 1 is short, and (c) CFD starts to work after T 1. Fig. 5. Dual-modes: dual-mode FD and dual-mode PD. Fig. 6. Proposed CDR circuit. 4. Simulation Results Fig. 6 show s the model of the proposed CDR in MATLAB. All input datas have a 7-byte clock pattern header and change to PRBS to simulate the frame structure of IEEE To verify the acquisition ability of the CDR, we set the input data rate from 2 Gbps to 12 Gbps. Fig. 7 shows the VCO s frequency. VCO s frequency is equal to data rate in Fig. 7 and is as half times as data rate in Fig. 7, because the FD/PD works at full-rate mode at the former and at half-rate at the later. And the acquisition time is less than 12 μs.

4 70 JOURNAL OF ELECTRONIC SCIENCE AND TECHNOLOGY, VOL. 10, NO. 1, MARCH (b ) Fig. 7. VCO s output frequency when acquisitio n: when data rates are 2 Gbps, 3 Gbps, and 4 Gbps, respectively and when data rates are 6 Gbps, 8 Gbps, 10 Gbps, and 12 Gbps, respectively Tim e (μs) Tim e (μs) Fig. 8. VCO s frequency: when the phase step responds when the frequency step responds. Voltage (V) Voltage (V) Fig. 9. Input and output data of the proposed CDR: D in and D out Ti me (μs) 0.5 W orks Table 2: Performance comparisons Acquisition time Data rate (Gbps) This work <12 μs 2 12 ASSCC 10 [1] Architecture Required Ext. Ref. clock To verify CDR s ph ase locking abi lity, the input data has 0.5-UI p has e step at 1 5 μs. Fig. 8 ( a) shows th e result that VCO s frequency has a tremble at 15 μs and goes back to stead y after that. Fig. 8 shows the circuit s frequency tracking ability. The data rate changes from 6 Gbps to 5 Gbps at 10 μs, as a result, the VCO s frequency changes from 3 GHz to GHz. At last, Fig. 9 shows the recovered data output from the circuit. We can see that output data lags behead input data by 1-UI. The performance comparisons of this work are listed in Table 2. 5 Conclusions Full-rate/ Half-rate ISSCC 11 [2] < 0.25 ms 0.5 Half-rate No ISSCC 06 [9] < 25 ms 0.15/0.6/ 1.2/ ISSCC 05 [10] <1 ms Full-rate No This work pr esents a design procedure of wideband CDR, and presents a continuous-rate CDR with the procedure. With t he proposed FBS and dual-m ode FD&PD, the receivable data rate of CDR is from 2 Gbps to 12 Gbps. We can broaden the acquisition band through combining multi-mode VCO and multi-mode FD&PD. No NA quarter-rate Yes Full-rate No

5 WEN et al.: A 2Gbps to 12Gbps Wide-Range CDR with Automatic Frequency Band Selector 71 References [1] S.-Y. Lee, H.-R. Lee, Y.-H. Kwak, et al., 250Mbps-5Gbps wide-range CDR with digital vernier phase shifting and dual mode control in 0.13μm CMOS, in Proc. of IEEE Asian Solid-State Circuits Conf., Beijing, 2010, pp [2] R. Inti, W.-J. Yin, A. Elshazly, N. Sasidhar, and P. K. Hanumolu, A 0.5-to-Gb/s reference-less half-rate digital CDR with unlimited frequency acquisition range and improved input duty-cycle error tolerance, in Proc. of IEEE International Solid-State Circuits Conf., San Francisco, 2011, pp [3] K. Min and C. Yoo, A 1.62/2.7Gbps clock and data recovery with pattern based frequency detector for displayport, IEEE Trans. on Consumer Electronics, vol. 56, no. 4, pp , [4] B. Razavi, Design of Integrated Circuits for Optical Communications, New York: McGraw-Hill, 2003, ch. 6. [5] I. Jung, D. Shin, T. Kim, and C. Kim, A 140-Mb/s to 1.82-Gb/s continuous-rate embedded clock receiver for flat-panel displays, IEEE Trans. on Circuits and Systems-II, vol. 56, no. 10, pp , [6] D. G. Messerschmitt, Frequency detectors for PLL acquisition in timing and carrier recovery, IEEE Trans. on Communications, vol. COM-27, no. 9, pp , [7] B. Stilling, Bit rate and protocol independent clock and data recovery, Electronics Letters, vol. 36, no. 9, pp , [8] R.-J. Yang, S.-P. Chen, and S.-I. Liu, A Gb/s clock and data recovery circuit for the 10-Gbase-LX4 ethernet, IEEE Journal of Solid-State Circuits, vol. 39, no. 8, pp , [9] M. H. Perrott, Y. Huang, R. T. Baird, et al., A Gb/s multi-rate 0.25μm CMOS CDR utilizing a hybrid analog/digital loop filter, in Proc. of IEEE International Solid-State Circuits Conf., San Francisco, CA, 2006, pp [10] D. Dalton, K. Chai, E. Evans, et al., A 1Mb/s to 2.7Gb/s continuous-rate CDR with automatic frequency acquisition and data-rate read back, in Proc. of IEEE International Solid-State Circuits Conf., San Francisco, CA, 2005, pp Chao-Ye Wen was born in Guangxi Province, China, in He received the B.E. degree in electronic science & technology from Huazhong University of Science and Technology (HUST), Wuhan, in He is currently pursuing the M.S. degree with the Department of Electronic Science & Technology, HUST. His research interests include analog IC design and mixed-signal IC design. Zhi-Ge Zou was born in Hubei Province, China, in He received the M.S. degree in electrical & electronic engineering from HUST in He received the Ph.D. degree in micro-electronics and solid state electronics from HUST in He is currently an associate professor with the Department of Electronic Science & Technology, HUST. His research interests include analog IC design and mixed-signal IC design. Wei He was born in Hubei Province, China, in He received the B.S. degree in physics from Wuhan University, Wuhan, in He is currently pursuing the M.S. degree with the Department of Electronic Science & Technology, HUST. His research interests include analog IC design and mixed-signal IC design. Jian-Ming Lei was born in Hunan Province, China, in He received the M.S. degree and the Ph.D. degree in micro-electronics and solid-state electronics from HUST in 2001 and 2004, respectively. Now he is an associate professor with the Department of Electronic Science and Technology, HUST. His research interests include mix-signal IC design, CMOS-RF IC design, semiconductor, and CMOS-MEMS technology, especially in ultra-high-speed communication circuit. Xue-Chen Zou received the M.S. degree and the Ph.D. degree in micro-electronics and solid-state electronics from HUST in 1988 and 1995, respectively. He did postdoctoral research with City University of Hong Kong from 1996 to Now he is the Chairman of the Department of Electronic Science and Technology, HUST. His research interests include VLSI, microelectronic device, and Internet of things.

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

IN RECENT YEARS, the increase of data transmission over

IN RECENT YEARS, the increase of data transmission over 1356 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A 3.125-Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet Rong-Jyi Yang, Student Member, IEEE, Shang-Ping Chen, and

More information

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member 1726 PAPER Special Section on Papers Selected from AP-ASIC 2004 A Fully Integrated 1.7 3.125 Gbps Clock and Data Recovery Circuit Using a Gated Frequency Detector Rong-Jyi YANG, Nonmember and Shen-Iuan

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6 Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements Application Note 1304-6 Abstract Time domain measurements are only as accurate as the trigger signal used to acquire them. Often

More information

A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector

A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector 3278 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 61, NO. 11, NOVEMBER 2014 A 10-Gb/s Low Jitter Single-Loop Clock and Data Recovery Circuit With Rotational Phase Frequency Detector

More information

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS

A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS A 1.7 Gbps DLL-Based Clock Data Recovery for a Serial Display Interface in 0.35-μm CMOS Yong-Hwan Moon, Sang-Ho Kim, Tae-Ho Kim, Hyung-Min Park, and Jin-Ku Kang This paper presents a delay-locked-loop

More information

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Downloaded from orbit.dtu.dk on: Jan 04, 2016 Clock and datarecovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Hansen, Flemming; Salama, C.A.T. Published in: Proceedings of the

More information

CLOCK AND DATA RECOVERY CIRCUITS RUIYUAN ZHANG

CLOCK AND DATA RECOVERY CIRCUITS RUIYUAN ZHANG CLOCK AND DATA RECOVERY CIRCUITS By RUIYUAN ZHANG A dissertation submitted in partial fulfillment of the requirements for the degree of DOCTER OF PHILOSOPHY WASHINGTON STATE UNIVERSITY School of Electrical

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 761 A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector Jafar Savoj, Student Member, IEEE, and Behzad Razavi,

More information

CHARGE pumps are the circuits that used to generate dc

CHARGE pumps are the circuits that used to generate dc INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 27 A Charge Pump Circuit by using Voltage-Doubler as Clock Scheme Wen Chang Huang, Jin Chang Cheng,

More information

High-Speed Electronics

High-Speed Electronics High-Speed Electronics Mentor User Conference 2005 - München Dr. Alex Huber, hubera@zma.ch Zentrum für Mikroelektronik Aargau, 5210 Windisch, Switzerland www.zma.ch Page 1 Outline 1. Motivation 2. Speed

More information

EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers

EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers Journal of the Korean Physical Society, Vol. 40, No. 4, April 2002, pp. 557 561 EFMPlus Data Recovery Circuit with a Fast Locking Scheme for 12X Speed DVD-ROM Drivers Jae-Chul Lee, Jae-Shin Lee and Suki

More information

PLL DESIGN AND CLOCK/FREQUENCY GENERATION (PLL 设 计 与 时 钟 / 频 率 产 生 ) Woogeun Rhee Institute of Microelectronics Tsinghua University

PLL DESIGN AND CLOCK/FREQUENCY GENERATION (PLL 设 计 与 时 钟 / 频 率 产 生 ) Woogeun Rhee Institute of Microelectronics Tsinghua University PLL DESIGN AND CLOCK/FREQUENCY GENERATION (PLL 设 计 与 时 钟 / 频 率 产 生 ) Woogeun Rhee Institute of Microelectronics Tsinghua University Course Objective This course gives insights into phase-locked clocking

More information

How To Test The Performance Of An Oversampling Cdr In An Fgpa, Jitter And Memory On A Black Box (Cdr) In A Test Program

How To Test The Performance Of An Oversampling Cdr In An Fgpa, Jitter And Memory On A Black Box (Cdr) In A Test Program 74 M. KUBÍČEK, Z. KOLKA, BLIND OVERSAMPLING DATA RECOVERY WITH LOW HARDWARE COMPLEXITY Blind Oversampling Data Recovery with Low Hardware Complexity Michal KUBÍČEK, Zdeněk KOLKA Dept. of Radio Electronics,

More information

A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data

A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data 432 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A CMOS Clock Recovery Circuit for 2.5-Gb/s NRZ Data Seema Butala Anand and Behzad Razavi, Member, IEEE Abstract This paper describes

More information

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop

Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop San Jose State University SJSU ScholarWorks Master's Theses Master's Theses and Graduate Research 2014 Phase Locked Loop (PLL) based Clock and Data Recovery Circuits (CDR) using Calibrated Delay Flip Flop

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS THEORY AND DESIGN Edited by Behzad Razavi AT&T Bell Laboratories The Institute of Electrical and Electronics Engineers, Inc., New York P\WILEY-

More information

JITTER tolerance indicates the maximum sinusoidal jitter

JITTER tolerance indicates the maximum sinusoidal jitter IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 5, MAY 2008 1217 A Jitter-Tolerance-Enhanced CDR Using a GDCO-Based Phase Detector Che-Fu Liang, Student Member, IEEE, Sy-Chyuan Hwu, and Shen-Iuan Liu,

More information

CLOCK and data recovery (CDR) circuits have found

CLOCK and data recovery (CDR) circuits have found 3590 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 12, DECEMBER 2009 A 20-Gb/s Full-Rate Linear Clock and Data Recovery Circuit With Automatic Frequency Acquisition Jri Lee, Member, IEEE, and Ke-Chung

More information

A Combined Clock and Data Recovery Circuit with Adaptive Cancellation of Data-Dependent Jitter

A Combined Clock and Data Recovery Circuit with Adaptive Cancellation of Data-Dependent Jitter JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.8, NO.3, SEPTEMBER, 008 193 A Combined Clock and Data Recovery Circuit with Adaptive Cancellation of Data-Dependent Jitter Jin-Hee Lee*, Suhwan Kim**,

More information

2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006

2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 2930 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 12, DECEMBER 2006 A 2.5-Gb/s Multi-Rate 0.25-m CMOS Clock and Data Recovery Circuit Utilizing a Hybrid Analog/Digital Loop Filter and All-Digital

More information

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications A THESIS SUBMITTED TO THE DEPARTMENT OF ELECTRONICS AND ELECTRICAL ENGINEERING

More information

Phase-Locked Loop Based Clock Generators

Phase-Locked Loop Based Clock Generators Phase-Locked Loop Based Clock Generators INTRODUCTION As system clock frequencies reach 100 MHz and beyond maintaining control over clock becomes very important In addition to generating the various clocks

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

MULTI-GIGABIT per second (Gbps) serial binary links

MULTI-GIGABIT per second (Gbps) serial binary links IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 8, AUGUST 2006 1867 A Digital Clock and Data Recovery Architecture for Multi-Gigabit/s Binary Links Jeff L. Sonntag and John Stonick, Member, IEEE Abstract

More information

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D.

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Abstract: The definition of a bit period, or unit interval, is much more complicated than it looks. If it were just the reciprocal of the data

More information

A New Programmable RF System for System-on-Chip Applications

A New Programmable RF System for System-on-Chip Applications Vol. 6, o., April, 011 A ew Programmable RF System for System-on-Chip Applications Jee-Youl Ryu 1, Sung-Woo Kim 1, Jung-Hun Lee 1, Seung-Hun Park 1, and Deock-Ho Ha 1 1 Dept. of Information and Communications

More information

(12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS

(12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS United States Patent US006614314B2 (12) (10) Patent N0.: US 6,614,314 B2 d Haene et al. 45 Date 0f Patent: Se. 2 2003 a (54) NON-LINEAR PHASE DETECTOR FOREIGN PATENT DOCUMENTS (75) Inventors: Wesley Calvin

More information

Clock Recovery Primer, Part 1. Primer

Clock Recovery Primer, Part 1. Primer Clock Recovery Primer, Part 1 Primer Primer Table of Contents Abstract...3 Why is Clock Recovery Used?...3 How Does Clock Recovery Work?...3 PLL-Based Clock Recovery...4 Generic Phased Lock Loop Block

More information

How PLL Performances Affect Wireless Systems

How PLL Performances Affect Wireless Systems May 2010 Issue: Tutorial Phase Locked Loop Systems Design for Wireless Infrastructure Applications Use of linear models of phase noise analysis in a closed loop to predict the baseline performance of various

More information

H-NW-1 H-NW-2 H-NW-3 H-NW-4 H-NW-5 H-NW-6. Reliability Management of Telecommunication Networks by Analyzing Outage Data

H-NW-1 H-NW-2 H-NW-3 H-NW-4 H-NW-5 H-NW-6. Reliability Management of Telecommunication Networks by Analyzing Outage Data Technologies for establishing a base network infrastructure including optical networks, wireless and satellite, all of which are essential to guaranteed bandwidth and broadband telecommunication. H-NW-1

More information

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP Ken Wyllie, CERN 1 Outline Optoelectronics What? Why? How? Experience in HEP (LHC) & future

More information

Design of a Reliable Broadband I/O Employing T-coil

Design of a Reliable Broadband I/O Employing T-coil 198 SEOK KIM et al : DESIGN OF A RELIABLE BROADBAND I/O EMPLOYING T-COIL Design of a Reliable Broadband I/O Employing T-coil Seok Kim, Shinae Kim, Goeun Jung, Kee-Won Kwon, and Jung-Hoon Chun Abstract

More information

Time-to-Voltage Converter for On-Chip Jitter Measurement

Time-to-Voltage Converter for On-Chip Jitter Measurement 1738 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Time-to-Voltage Converter for On-Chip Jitter Measurement Tian Xia, Member, IEEE, and Jien-Chung Lo, Senior Member,

More information

Duobinary Modulation For Optical Systems

Duobinary Modulation For Optical Systems Introduction Duobinary Modulation For Optical Systems Hari Shanar Inphi Corporation Optical systems by and large use NRZ modulation. While NRZ modulation is suitable for long haul systems in which the

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

8B/10B Coding 64B/66B Coding

8B/10B Coding 64B/66B Coding 8B/10B Coding 64B/66B Coding 1. Transmission Systems 2. 8B/10B Coding 3. 64B/66B Coding 4. CIP Demonstrator Test Setup PeterJ Slide 1 Transmission system General Data Clock D C Flip Flop Q @ 1 Gbps = 1

More information

Equalization/Compensation of Transmission Media. Channel (copper or fiber)

Equalization/Compensation of Transmission Media. Channel (copper or fiber) Equalization/Compensation of Transmission Media Channel (copper or fiber) 1 Optical Receiver Block Diagram O E TIA LA EQ CDR DMUX -18 dbm 10 µa 10 mv p-p 400 mv p-p 2 Copper Cable Model Copper Cable 4-foot

More information

A 40 Gb/s Clock and Data Recovery Module with Improved Phase-Locked Loop Circuits

A 40 Gb/s Clock and Data Recovery Module with Improved Phase-Locked Loop Circuits A 40 Gb/s Clock and Data Recovery Module with Improved PhaseLocked Loop Circuits Hyun Park, Kang Wook Kim, SangKyu Lim, and Jesoo Ko A 40 Gb/s clock and data recovery (CDR) module for a fiberoptic receiver

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime

1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime 1 1.6 Gbit/s Synchronous Optical QPSK Transmission with Standard DFB Lasers in Realtime S. Hoffmann, T. Pfau, R. Peveling, S. Bhandare, O. Adamczyk, M. Porrmann, R. Noé Univ. Paderborn, EIM-E Optical Communication

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 10, OCTOBER 2007 2235 0018-9200/$25.00 2007 IEEE

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 10, OCTOBER 2007 2235 0018-9200/$25.00 2007 IEEE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 42, NO. 10, OCTOBER 2007 2235 A Power-Efficient Clock and Data Recovery Circuit in 0.18 m CMOS Technology for Multi-Channel Short-Haul Optical Data Communication

More information

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION 35'th Annual Precise Time and Time Interval (PTTI) Systems and Applications Meeting San Diego, December 2-4, 2003 A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION Józef Kalisz and Ryszard Szplet

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Reconfigurable Low Area Complexity Filter Bank Architecture for Software Defined Radio

Reconfigurable Low Area Complexity Filter Bank Architecture for Software Defined Radio Reconfigurable Low Area Complexity Filter Bank Architecture for Software Defined Radio 1 Anuradha S. Deshmukh, 2 Prof. M. N. Thakare, 3 Prof.G.D.Korde 1 M.Tech (VLSI) III rd sem Student, 2 Assistant Professor(Selection

More information

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course

6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course 6.976 High Speed Communication Circuits and Systems Lecture 1 Overview of Course Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Wireless Systems Direct conversion

More information

A Storage Architecture for High Speed Signal Processing: Embedding RAID 0 on FPGA

A Storage Architecture for High Speed Signal Processing: Embedding RAID 0 on FPGA Journal of Signal and Information Processing, 12, 3, 382-386 http://dx.doi.org/1.4236/jsip.12.335 Published Online August 12 (http://www.scirp.org/journal/jsip) A Storage Architecture for High Speed Signal

More information

PROGRAMMABLE ANALOG INTEGRATED CIRCUIT FOR USE IN REMOTELY OPERATED LABORATORIES

PROGRAMMABLE ANALOG INTEGRATED CIRCUIT FOR USE IN REMOTELY OPERATED LABORATORIES PROGRAMMABLE ANALOG INTEGRATED CIRCUIT FOR USE IN REMOTELY OPERATED LABORATORIES Carsten Wulff (carsten@wulff.no) Prof. Trond Ytterdal (ytterdal@fysel.ntnu.no) Norwegian University of Science and Technology,

More information

Managing High-Speed Clocks

Managing High-Speed Clocks Managing High-Speed s & Greg Steinke Director, Component Applications Managing High-Speed s Higher System Performance Requires Innovative ing Schemes What Are The Possibilities? High-Speed ing Schemes

More information

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCI Express: The Evolution to 8.0 GT/s Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCIe Enterprise Computing Market Transition From Gen2 to Gen3 Total PCIe instances. 2009

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico Clocks Basics in 10 Minutes or Less Edgar Pineda Field Applications Engineer Arrow Components Mexico Presentation Overview Introduction to Clocks Clock Functions Clock Parameters Common Applications Summary

More information

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications

QAM Demodulation. Performance Conclusion. o o o o o. (Nyquist shaping, Clock & Carrier Recovery, AGC, Adaptive Equaliser) o o. Wireless Communications 0 QAM Demodulation o o o o o Application area What is QAM? What are QAM Demodulation Functions? General block diagram of QAM demodulator Explanation of the main function (Nyquist shaping, Clock & Carrier

More information

LONGLINE QSFP+ SR4. Features. Applications. Description. Page 1 of 13

LONGLINE QSFP+ SR4. Features. Applications. Description. Page 1 of 13 LONGLINE QSFP+ SR4 Features 4 channels full-duplex transceiver modules Transmission data rate up to 10.5Gbps per channel 4 channels 850nm VCSEL array 4 channels PIN photo detector array Low power consumption

More information

Lecture 200 Clock and Data Recovery Circuits - I (6/26/03) Page 200-1

Lecture 200 Clock and Data Recovery Circuits - I (6/26/03) Page 200-1 Lecture 200 Clock and ata Recovery Circuits - (6/26/03) Page 200-1 LECTURE 200 CLOCK N T RECOVERY CRCUTS (References [6]) Objective The objective of this presentation is: 1.) Understand the applications

More information

USB 3.0 CDR Model White Paper Revision 0.5

USB 3.0 CDR Model White Paper Revision 0.5 USB 3.0 CDR Model White Paper Revision 0.5 January 15, 2009 INTELLECTUAL PROPERTY DISCLAIMER THIS WHITE PAPER IS PROVIDED TO YOU AS IS WITH NO WARRANTIES WHATSOEVER, INCLUDING ANY WARRANTY OF MERCHANTABILITY,

More information

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis

An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis An All-Digital Phase-Locked Loop with High Resolution for Local On-Chip Clock Synthesis Oliver Schrape 1, Frank Winkler 2, Steffen Zeidler 1, Markus Petri 1, Eckhard Grass 1, Ulrich Jagdhold 1 International

More information

A High Frequency Divider in 0.18 um SiGe BiCMOS Technology

A High Frequency Divider in 0.18 um SiGe BiCMOS Technology A High Frequency Divider in 0.18 um SiGe BiCMOS Technology Noorfazila Kamal 1, Yingbo Zhu 1, Leonard T. Hall 1, Said F. Al-Sarawi 1, Craig Burnet 2, Ian Holland 2, Adnan Khan 2, Andre Pollok 2, Justin

More information

A Laser Scanner Chip Set for Accurate Perception Systems

A Laser Scanner Chip Set for Accurate Perception Systems A Laser Scanner Chip Set for Accurate Perception Systems 313 A Laser Scanner Chip Set for Accurate Perception Systems S. Kurtti, J.-P. Jansson, J. Kostamovaara, University of Oulu Abstract This paper presents

More information

Sunny 1, Rinku Garg 2 Department of Electronics and Communication Engg. GJUS&T Hissar, India

Sunny 1, Rinku Garg 2 Department of Electronics and Communication Engg. GJUS&T Hissar, India Performance Analysis of Optical CDMA System Using W/T Codes Sunny 1, Rinku Garg 2 Department of Electronics and Communication Engg. GJUS&T Hissar, India Abstract This paper represents the performance of

More information

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions

Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions Pericom PCI Express 1.0 & PCI Express 2.0 Advanced Clock Solutions PCI Express Bus In Today s Market PCI Express, or PCIe, is a relatively new serial pointto-point bus in PCs. It was introduced as an AGP

More information

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY

AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY AN ESTIMATION APPROACH TO CLOCK AND DATA RECOVERY A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF STANFORD UNIVERSITY IN PARTIAL FULFILLMENT

More information

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire

A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire 366 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 3, MARCH 2001 A 125-MHz Mixed-Signal Echo Canceller for Gigabit Ethernet on Copper Wire Tai-Cheng Lee and Behzad Razavi, Member, IEEE Abstract A discrete-time

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY. A Thesis HYUNG-JOON JEON

A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY. A Thesis HYUNG-JOON JEON A 10GB/S FULL ON-CHIP BANG-BANG CLOCK AND DATA RECOVERY SYSTEM USING AN ADAPTIVE LOOP BANDWIDTH STRATEGY A Thesis by HYUNG-JOON JEON Submitted to the Office of Graduate Studies of Texas A&M University

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Yu Xuequan, Yan Hang, Zhang Gezi, Wang Haisan Huawei Technologies Co., Ltd Lujiazui Subpark, Pudong Software

More information

Design of a High-speed and large-capacity NAND Flash storage system based on Fiber Acquisition

Design of a High-speed and large-capacity NAND Flash storage system based on Fiber Acquisition Design of a High-speed and large-capacity NAND Flash storage system based on Fiber Acquisition Qing Li, Shanqing Hu * School of Information and Electronic Beijing Institute of Technology Beijing, China

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems

Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems Harris Introduction to CMOS VLSI Design (E158) Lecture 8: Clocking of VLSI Systems David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH

More information

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs

Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Model-Based Synthesis of High- Speed Serial-Link Transmitter Designs Ikchan Jang 1, Soyeon Joo 1, SoYoung Kim 1, Jintae Kim 2, 1 College of Information and Communication Engineering, Sungkyunkwan University,

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

SRAM Scaling Limit: Its Circuit & Architecture Solutions

SRAM Scaling Limit: Its Circuit & Architecture Solutions SRAM Scaling Limit: Its Circuit & Architecture Solutions Nam Sung Kim, Ph.D. Assistant Professor Department of Electrical and Computer Engineering University of Wisconsin - Madison SRAM VCC min Challenges

More information

What is the difference between an equivalent time sampling oscilloscope and a real-time oscilloscope?

What is the difference between an equivalent time sampling oscilloscope and a real-time oscilloscope? What is the difference between an equivalent time sampling oscilloscope and a real-time oscilloscope? Application Note In the past, deciding between an equivalent time sampling oscilloscope and a real

More information

Any-Rate Precision Clocks

Any-Rate Precision Clocks Any-Rate Precision Clocks Wireline Market Overview Analog Modems Large installed base and growth in embedded applications Voice Transition to VoIP to reduce service provider cost-of-ownership Timing Large,

More information

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1 MICROPROCESSOR A microprocessor incorporates the functions of a computer s central processing unit (CPU) on a single Integrated (IC), or at most a few integrated circuit. It is a multipurpose, programmable

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Multilevel Sequential Logic Circuit Design

Multilevel Sequential Logic Circuit Design International Journal of Electronics and Electrical Engineering Vol., No. 4, December, 4 Multilevel Sequential Logic Circuit Design vni Morgül FSM Vakıf University, iomedical Eng. Dept, Istanbul, Turkey

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B. Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology Electronics & Communication Engineering B.Tech III Semester 1. Electronic Devices Laboratory 2. Digital Logic Circuit Laboratory 3.

More information

Low latency synchronization through speculation

Low latency synchronization through speculation Low latency synchronization through speculation D.J.Kinniment, and A.V.Yakovlev School of Electrical and Electronic and Computer Engineering, University of Newcastle, NE1 7RU, UK {David.Kinniment,Alex.Yakovlev}@ncl.ac.uk

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

INF4420 Introduction

INF4420 Introduction INF4420 Introduction Spring 2012 Jørgen Andreas Michaelsen (jorgenam@ifi.uio.no) Outline Practical information about the course. Context (placing what we will learn in a larger context) Outline of the

More information

Signal Types and Terminations

Signal Types and Terminations Helping Customers Innovate, Improve & Grow Application Note Signal Types and Terminations Introduction., H, LV, Sinewave, Clipped Sinewave, TTL, PECL,,, CML Oscillators and frequency control devices come

More information

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives,

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, Mr.C.Anandaraj Assistant Professor -EEE Thiruvalluvar college of Engineering And technology, Ponnur

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

Semiconductor Device Technology for Implementing System Solutions: Memory Modules

Semiconductor Device Technology for Implementing System Solutions: Memory Modules Hitachi Review Vol. 47 (1998), No. 4 141 Semiconductor Device Technology for Implementing System Solutions: Memory Modules Toshio Sugano Atsushi Hiraishi Shin ichi Ikenaga ABSTRACT: New technology is producing

More information