Time-to-Voltage Converter for On-Chip Jitter Measurement

Size: px
Start display at page:

Download "Time-to-Voltage Converter for On-Chip Jitter Measurement"

Transcription

1 1738 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Time-to-Voltage Converter for On-Chip Jitter Measurement Tian Xia, Member, IEEE, and Jien-Chung Lo, Senior Member, IEEE Abstract In this paper, we present the concept and design of a time-to-voltage converter (TVC), and demonstrate its application to on-chip phase-locked loop (PLL) jitter measurement. The TVC operates in an analog, continuous mode without using a sampling clock. It compares the signal under measurement with a reference signal by charging and discharging a capacitor. First, the low-frequency reference signal charges the capacitor in one cycle. Then, the jitter signal discharges the same capacitor repeatedly until the voltage on the capacitor falls below a threshold. The number of times the jitter signal needs to discharge the capacitor is recorded on a binary counter. We demonstrated that a 160-ps injected jitter is successfully measured by the proposed TVC with a 2-MHz reference signal. We also demonstrated the successful measurement of a 14-ps average PLL jitter, without jitter injection. An 8% measurement error is found in both experiments, using four-bit counters. Finally, we analyze the relations between design parameters and show trade-offs between measurement resolution and measurement time. Index Terms Charge pump, on-chip measurement, phaselocked loop (PLL), signal jitter, time-to-voltage conversion (TVC). I. INTRODUCTION IN THE 2001 edition of the International Technology Roadmap for Semiconductor [1], timing jitter measurement in high-speed VLSI is stated as one of the top most difficult challenges. The jitter measurement in phase locked loops (PLLs) and in gigabit-rate serializer and deserializer (SerDes) are two most notable examples. These are the challenges with urgent need for solutions. In this paper, we propose the concept of time-to-voltage converter (TVC) circuit. Such a circuit measures timing information directly and continuously. Specifically, the TVC operates directly with the analog voltage level that represents the timing values. The measurement circuit is thus compact enough to be implemented on the chip. This, in turn, reduces the possibility of environmental noise interference. Timing measurement is an important part of many applications such as laser radar, phase meter, PM or FM demodulators, etc. [2] [4]. In particular, a class of time-to-digital converter (TDC) has been developed for these applications. Similar idea has never been considered for testing deep sub-micron VLSI to our best knowledge. The proposed TVC is a modified form of TDC such that the timing information is transformed to voltage level directly without digitization [5]. Most importantly, this proposed technique differs significantly from the existing methods, TDC included, in its operating mode such that the timing measurement is performed in an analog manner without a sampling clock. This unique feature will enable the timing measurement of high-speed gigabit-rate circuits possible. Note that the major obstacle today for such measurement is that the sampling frequency must be order of magnitude faster than the circuit under test. Many difficult challenges for testing described in [1] can be solved or alleviated with this new technique. To demonstrate the effectiveness of the TVC concept, we choose to tackle the problem of measuring jitter in PLLs. PLL-based frequency synthesizers are commonly used to create accurate reference clock signals in computer and communication systems [6] [10]. As microprocessor and communication system clock speed increases, jitter specification becomes a crucial circuit design parameter. For example, in a synchronous digital system with a clock frequency of 10 MHz, jitter of 1 ns duration may bring 1% data uncertainty. If the system clock frequency increases to 100 MHz, the same 1-ns jitter will cause 10% data uncertainty. As gigahertz digital systems become common, jitter needs to be identified to avoid degradation of system performance and potentially other serious consequences. The proposed circuit is implemented in the 0.5- m CMOS VLSI technology available from MOSIS. We perform HSPICE simulations to confirm that the proposed TVC circuit can properly measure PLL jitter in the hundred ps range with a reference signal of 2 MHz (or 250 ns duty cycle). The reference signal is order of magnitude slower than the jittery signal to be measured. The measurement error in this experiment is about 8% when using the minimum configuration, in favor of a smaller circuitry. We then present the analysis results to further clarify the relationship between the design parameters and the measurement resolution. Designers can select the appropriate design parameters to fit many other timing measurement applications. In the next section, we shall present the concept and the designs of TVC. Section III will describe the specific TVC design for on-chip PLL jitter measurement. The simulations will be presented in Section IV. The analysis results for the design parameters will be shown in Section V. Conclusions are given in Section VI. Manuscript received November 30, 2002; revised July 28, T. Xia is with the Electrical and Computer Engineering Department, University of Vermont, Burlington, VT USA. J.-C. Lo is with the Department of Electrical and Computer Engineering, University of Rhode Island, Kingston, RI USA. Digital Object Identifier /TIM II. TIME-TO-VOLTAGE CONVERTER The TDC is mainly used to measure the time interval or pulse width. One of the important methods to implement the TDC is shown in Fig. 1, which consists of a TVC followed by an A/D /03$ IEEE

2 XIA AND LO: TIME-TO-VOLTAGE CONVERTER FOR ON-CHIP JITTER MEASUREMENT 1739 converter [11], [12]. In this method, the time interval T between and is first converted into a voltage offset (1) Then, is digitized into a final digital number N using an A/D converter. Generally, the TDC is implemented with a constant current and a capacitor C. From (1), we can see that the accuracy of is determined by the accuracies of and capacitor C. However, due to process variations, it is difficult to control the accuracy of these components. Thus measurement error will be inevitably introduced. Additionally, the resolution of the A/D converter will also affect the measurement accuracy. Here, we proposed a new method to directly measure time interval without A/D converter, which takes advantage of the repetition of the signal under measurement, and can minimize the effects of process variations. We called this new method TVC since it does not require the translation of to N as in the previous method. This method is especially suitable for measuring very short time interval. Its circuit diagram is shown in Fig. 2. In this circuit, there are one charging current source and one discharging current sink. is controlled by a low frequency reference signal to charge the capacitor C. is controlled by the signal under measurement,, to discharge the same capacitor. In the application to be shown in a later section, this signal under measurement is PLLs timing jitter, whose pulse width is much shorter than the reference signal s. We control to charge the capacitor C with voltage offset Vin s one positive half cycle, then use to discharge the same capacitor by the same voltage offset in N cycles. For the signal with very narrow pulse width, should be much larger than. Larger can expedite the discharging process. A counter is used to record the number N for discharging process, such that Consequently where T is the pulse width of signal under measurement. Note that C has been cancelled in the above equation. Here, we can see the measurement result depends on the current ratio of, instead of on the absolute value of the current and the capacitor C. Therefore the effects of process variations are minimized. Further, in the proposed TVC, the voltage level on the capacitor is not converted to a digital number. Instead, this voltage level is compared against a threshold to enable or disable the counter. III. JITTER MEASUREMENT IN CHARGE-PUMP PLL Although PLL is typically a small circuit, testing a PLL is extremely time consuming and complex. Among the PLL specifications, jitter is the single most important and the most difficult to measure parameter. Jitter is the deviation of frequency and phase in the clock signal generated by the PLL. In typical cases, the jitter is in the range of picosecond (ps). For example, the jitter specification of the PLL in [9] is within 100 ps at 300 MHz (2) (3) Fig. 1. Fig. 2. Time-to-digital converter. Proposed TVC circuit. output frequency. To accurately measure signal in such a short duration, off-chip measurement is used in practice [13] [16]. Needless to say that such technique is expansive and is not adequate for embedded PLLs. An on-chip method is proposed in [17], [18], which uses sigma-delta principle to obtain the jitter transfer function, and does not measure the timing jitter value. Another on-chip method is proposed in [19], [20], which uses a set of delay units to measure the timing jitter, and does not affect the PLL s normal operation, but because the exact delay time of each delay unit is difficult to know, it makes the measurement procedure complicate. In [21], Intel also employed on-chip circuit to test PLL jitter in their Pentium 4 CPU. A. Charge-Pump Based PLL Frequency Synthesizer Charge-pump based PLL is widely used due to its extended operation frequency range and low cost [22], [23]. The general structure of a charge pump based PLL is shown in Fig. 3. It is composed of a phase/frequency detector (PFD), which detects phase error between a reference signal and PLL s feedback signal to generate digital pulses. The digital pulses are then used to control the subsequent charge pump to charge or discharge a loop filter. Depending on the requirement of specific application, the loop filter could be different types: passive filter or active filter; second order filter or higher order filter. The filter s

3 1740 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Fig. 3. Block diagram of a charge pump based PLL frequency. output signal controls a voltage-controlled oscillator (VCO). When the phase error between reference signal and PLL s feedback signal becomes zero or nearly zero, the VCO will oscillate at a stable frequency. The frequency divider with ratio is used to force the PLL to generate a frequency N times that of the reference signal. For frequency synthesizer, the jitter in the generated high frequency clock signal is one of the most important parameter, [23] [27]. According to ITU-T G-810 Recommendation, clock jitter is defined as the short term deviation in significant instants of digital signals referred to their equidistant normal instants. Fig. 4 shows the jitter in a clock signal. Following the jitter definition, if we have a jitter-free clock signal with the same frequency as the signal under measurement, by comparing their phase, we can easily find the jitter. However, for on-chip jitter measurement, to generate such a high frequency golden signal is very difficult if not impossible. In PLL, the only golden signal that we may safely assume is the input reference signal,. Generally, we use a crystal oscillator to generate this reference signal. The jitter in could be assumed zero or nearly zero. However, is order of magnitude slower than the signal under measurement. The challenge is how to utilize this golden to measure the jitter in. B. Jitter in PLL Frequency Synthesizer From Fig. 3, we see the feedback signal is produced by frequency divider, whose frequency is only of s. This signal is transmitted to the phase/frequency detector to be compared with the reference signal. By comparing it with the jitter free reference signal, we can obtain the jitter in. Is there any relationship between s jitter and s jitter? If yes, what is that relationship? Before taking measurement, we need to answer these questions first. Suppose, we have a jitter free golden signal, which has the same frequency as PLL s output. At time instant s phase is and s phase is. The phase difference between and is The timing jitter is (4) corresponding to this phase difference (5) Fig. 4. Jitter in clock signal. where is the period of. Signal goes into the frequency divider and generates the feedback signal. If there is no jitter in s frequency should equal s frequency, which is of s frequency. Such that and If there is jitter in, the jitter will cause a phase error between and. At the output of the frequency divider, this phase error will be divided by N, so where is the phase deviation of signal. The corresponding timing jitter is Comparing (5) and (9), we find that jitter equals. However, this does not mean that we can measure any instantaneous jitter from signal. The phase frequency detector (PFD) detects phase errors by comparing the rising edge (or falling edge) of the input signals and. Only when these signals rising edges arrive, the corresponding phase error can be detected and converted to pulse sequence. Due to the frequency divider, N cycles can generate only one rising or (6) (7) (8) (9)

4 XIA AND LO: TIME-TO-VOLTAGE CONVERTER FOR ON-CHIP JITTER MEASUREMENT 1741 Fig. 5. Proposed on-chip jitter measurement circuit for the PLL frequency synthesizer. falling edge in. Thus, the measured jitter of is actually N cycles accumulated jitter of. Since the time interval between s one rising edge and the next is,wehave (10) where is the period of the jitter free signal, and is the jitter in ith cycle of. Thus, the jitter obtained in is (11) From the above equation, we can clearly see that is actually an accumulated jitter, which equals the sum of N cycles jitter in. This jitter is also called the long-term jitter. So, by measuring signal, we know s long term jitter. From this long-term jitter, we can calculate s average period jitter: dividing long-term jitter by N. C. Proposed On-Chip Jitter Measurement Circuit Fig. 5 shows the proposed on-chip jitter measurement circuit for a PLL based frequency synthesizer. The jitter measurement circuit is composed of a charge pump, an XOR gate, an analog comparator with hysteresis, a capacitor, and a digital counter. From Fig. 5, we can see that the test circuit does not directly measure signal, it uses the input jitter free signal to measure the feedback signal. For a PLL, its PFD compares two input signals and, and generates two output signals U and D. If s phase is ahead of s, there will be a pulse in U. Similarly, if s phase falls behind of s, the pulse will appear in D. The pulse width is proportional to the phase error. Therefore if we can measure the pulse width of U and/or D, we will be able to calculate the timing jitter in. 1) Comparator With Hysteresis: In the proposed TVC circuit, the comparator with hysteresis holds a very important role. This comparator is designed as a clockwise bi-stable comparator. The schematic of the comparator is shown in Fig. 6. Fig. 7 illustrates its bi-stable characteristic. and are two threshold voltages. is the central voltage between these two thresholds. In the measurement circuit, the central voltage source connects with comparator s noninverse pin, which decides the central location of the characteristics. The input signal connects with comparator s inverse pin. Initially, when the input voltage is much lower than and, the voltage level on comparator s output terminal is logic-1. If we increase, due to hysteresis, the output will not turn to logic-0 until is higher than. Then we decrease gradually, comparator s output will not

5 1742 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Fig. 6. Analog comparator. Fig. 7. Characteristics of the analog comparator with hysteresis. flip back to logic high until is lower than. The voltage difference between and is hysteresis. 2) Charge Pump: Fig. 8 shows the charge pump circuit [9]. This charge pump consists of one current source, one current sink and four switches. M4, M5, M9, and M2 form the charging current source. M1, M13, M15, and M12 form the discharging current sink. M6 and M11 are used to discharge/charge node to effectively switch off charging/discharging process when M7, M10, or M3 are inactive. They will also reduce the charge sharing between charging current source and discharging current sink. The charging current source and discharging current sink are switched on/off by signals, and. When is high, is low, the discharging current sink is cut off, the charging current source is under the control of signal. During s positive half cycle, the current

6 XIA AND LO: TIME-TO-VOLTAGE CONVERTER FOR ON-CHIP JITTER MEASUREMENT 1743 Fig. 8. Charge pump structure. Fig. 9. Phase/frequency detector. source is activated. When is low, the charging current source is cut off, while the discharging current t sink is controlled by signal. The s positive cycle is used to conduct the discharging current sink. By setting the P and N nodes to GND and respectively, the transistors of M5 and M12 operate at saturation region, which can eliminate a large instantaneous current during the transition. Hence, the output voltage of can be more stably generated. 3) Phase/Frequency Detector (PFD): As shown in Fig. 5, the measurement circuit connects only to the U and D of the PLL under measurement, and share the input,. Aswe can see below, U and D nodes are for digital signals. Thus, the connections of the measurement circuit will not affect PLL s analog features. Fig. 9 shows the detailed schematic of phase/frequency detector (PFD) inside the PLL. PFD is used to detect the phase error between input reference signal and PLL s feedback signal. It serves as an error amplifier. When the jitter in is negative (the phase of lags behind the phase of ), a pulse will be generated in PFD s output terminal U. Similarly, if the jitter in is positive (the phase of is ahead of the phase of ), the pulse will appear in output terminal D. In the measurement circuit, we use an XOR gate to get the voltage pulse whose width corresponds to the jitter magnitude. For the phase/frequency detector design, the main criterion is to minimize the dead zone. The dead zone is the phase error that cannot be detected. With dead zone, the PFD will not be able to generate pulse in D or U properly. To reduce the dead zone, a delay element is designed for RST signal. In addition, an XNOR and two AND gates are used to eliminate the output signals U and D being logic-1 at same time, which can help to avoid the charge sharing between the charging current source and discharging current sink in the followed charge pump subcircuit.

7 1744 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Fig. 10. Injected jitter measurement. D. Operation Principles In the charge pump, and control switches S1 and S2, respectively, while the jitter pulse and and D flip-flop s output control S3 and S4 separately. Capacitor connects to analog comparator s negative input pin. The voltage source decides the central voltage of the comparator s hysteresis, while sets the base voltage for the capacitor. Their values are set to be v, and v. Actually, equals comparator s threshold voltage. Thus, during measurement, the charging or discharging process will start from or stop at this voltage point. When the measurement process starts, since is less than initially, comparator s output is high, and D flipflop s output is high too. So, switch is on. In s positive half cycle, switch is conducted. Thus the capacitor is charged with current source. When the voltage on comparator s inverse input pin reaches or becomes higher than the threshold voltage will be toggled to logic-0. When a new rising edge of comes, the D flip-flop s output flips to low and flips to high. Thus switch is cut off, and the charging process stops. By setting the amplitude and size, we can control the charging process to finish in one cycle. At the end of the charging process, is high, is conducted, so the discharging process is enabled. Simultaneously, a digital counter is activated to count the number of clock cycle of during discharging process. When there are jitter pulses coming from the output of phase/frequency detector, switch is conducted and the capacitor is discharged by current sink, the voltage over the capacitor is then decreased. When the voltage over the capacitor drops back to will flip to logic-1, S4 is then cut off. The discharging process is thus ended. At this moment, the datum at the binary counter s output is locked and read out. Using this number, we can calculate the jitter value in. Since the s duty cycle is 50%, its positive pulse width is. The charging voltage offset is The discharging voltage offset is (12) (13) Because these two voltage offsets are controlled to be equal during the measurement process, we have, so (14)

8 XIA AND LO: TIME-TO-VOLTAGE CONVERTER FOR ON-CHIP JITTER MEASUREMENT 1745 Fig. 11. TVC measured PLL jitter. Thus The average jitter amplitude is (15) the output frequency is 160 MHz. We perform the circuit simulations using HSPICE in two steps. First, we assume a golden PLL is to be measured. This golden PLL can generate clock signal without timing jitter. We then intentionally inject jitter to this golden PLL to test the proposed TVC measurement circuit. Next, we connect the TVC circuit with a jittery PLL without jitter injection. (16) From the above equations, we can see that the jitter amplitude could be calculated by the discharging and charging current ratio, the period of the reference clock signal, and the counter s output value. ratio is known before the measurement, which is decided by the sizes of transistors in the current mirror, and is also a pre-known parameter. Therefore, the only number we need to measure is. IV. CIRCUIT SIMULATIONS This section shows the PLL jitter measurement. In this PLL, the reference signal s frequency is 2 MHz, and the frequency divider s ratio ranges from 71 to 80. Hence, this PLL can generate frequency ranging from 142 to 160 MHz. In the following simulations, PLL s frequency divider ratio N is 80, and A. Fault Injection Experiment Here, a 160-ps jitter signal has been injected into the PFD s output for the simulations. In Fig. 10, we show the HSPICE simulation results. The first signal shown at the top is the input reference signal with 2-MHz frequency. The second waveform is the injected jitter signal with a pulse width of 160 ps. The third one is the voltage fluctuations over the capacitor.we can see, it takes 1 cycle to finish the charging process. The fourth and the fifth waveforms are signals and, which are the outputs of the D-flip flop. The last four signals represent counter s outputs, and, respectively. Their values represent the number of cycles of the discharging process. In this case, A and A. At the end of the simulation, when, we obtain. According to (16), we find ns

9 1746 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 Fig. 12. Directly measured jitter without using TVC. So, the measured jitter is 147 ps while the injected jitter is 160 ps. The measurement error is about 8%. The 160-ps jitter is the long-term accumulated jitter. As explained earlier, the 160-ps at the PFD s output may represent a 160 ps/n average jitter at the output of the frequency synthesizer. In this PLL, the frequency dividing ratio is. Hence, the proposed TVC measurement circuit is capable of detecting a 2-ps average jitter at the output of the PLL-based frequency synthesizer. B. PLL Jitter Measurements In Fig. 11, we show the PLL measurement without jitter injection. The signal depicted on the top is the input reference clock. The second one is the feedback signal, which is the output of PLL s frequency divider. represents the phase error between and. We also give out the waveform of, which is the control voltage of the PLL s VCO. The amplitude of is stable, which means that the PLL is in locking status. The fifth waveform is for, which is the voltage over the capacitor in the jitter measurement circuit. By analyzing the amplitude of, we are assured that the charging process is finished in one cycle of. The last four signals represent counter s outputs and, respectively. At the end of the discharging process,, which means the charging process takes 15 cycles. In this circuit, the charging and discharging currents and are set to be 3.3 ua and 48 ua, separately. By using (16), we can calculate ns (17) This value is the calculated average long-term timing jitter. We can convert it to get the corresponding phase error (unit: radian) (18) Fig. 12 contains, and. From this figure, we measure the phase error between and directly. As labeled, the directly measured phase error is around 1.25 ns. We can use this value as reference to check our calculated jitter. The measurement error ratio % % (19) Since PLL s frequency dividing ratio N is 80, we can deduce that the approximate jitter magnitude in PLL s output is about ns ps. V. DESIGN PARAMETERS ANALYSIS To design an on-chip measurement circuit, several factors need to be considered, measurement time, measurement resolution, the effect of process variations in fabrication, and resource overhead. According to the above analysis, we know the measurement time length is is the time length of the discharging process, is the time length of the discharging process. Thus, to shorten the measurement time, we should try to make smaller. From Fig. 13, we can see, when the jitter is within some certain range, the value of is inversely proportional to the current ratio of, which means by increasing the current ratio of, we can make small, and expedite the measurement process. However, ratio cannot be increased limitlessly, because its value also decides the measurement resolution. As depicted in Fig. 14, the smaller current ratio can bring relatively better resolution. If we use larger ratio of,

10 XIA AND LO: TIME-TO-VOLTAGE CONVERTER FOR ON-CHIP JITTER MEASUREMENT 1747 Fig. 15. Layout of PLL and jitter measurement circuit. Fig. 13. Relationship between N and I =I. Fig. 14. Relationship between measurement resolution and I =I. the resolution will be worsen. Therefore, there is a trade off between the measurement time and resolution, for different applications, we should find a balance point between them. For example, if the jitter in is about 1 ns, we can set the current ratio around 15, with this ratio, the measurement resolution is about 55 ps, which is about 5.5% of the jitter, and the measurement time is about 16 cycles of reference signal or nearly 8 ms. In the above experiments, the reference signal was set at 2 MHz. If a faster PLL with a faster reference clock signal is used, the cycle time will decrease. Consequently, the charging voltage offset in one cycle will be reduced, which can be inferred from (12). Hence, the discharging process will take less time to discharge the same voltage offset. Essentially, a faster reference clock signal will result in a faster measurement process. Of course, this voltage offset should be larger than comparator s hysteresis. In this proposed jitter measurement circuit, the potential effects of the fabrication process variations are minimized. From previous descriptions, we see that capacitor is one of the crucial components, which is shared by the charging process and discharging process. In fabrication, due to the process variations, it is nearly impossible to manufacture a capacitor with absolutely precise value. The general variation is around % to %. Fortunately, our proposed method does not require building a precise capacitor, which is clearly shown in the (16). In the calculation, the capacitor is cancelled out. Therefore, a not so accurate capacitor does not affect the measurement accuracy. In Fig. 15, we show the layout of the PLL and the proposed built-in jitter measurement circuit. The large area in the lower right hand corner of the proposed measurement circuit is the capacitor. Based on this layout, the area overhead of the proposed design is about 21%. We remark here that the overhead ratio sounds high because of the small size of PLL. The proposed design is quite compact. VI. CONCLUSION We have presented a design of TVC and demonstrated its application to the on-chip jitter measurement in the PLL-based frequency synthesizer. By charging and discharging on the same capacitor, we cancel out the need to have a precise capacitor be fabricated (which is almost impossible). This is crucial for on-chip measurement as it eliminates the tedious tuning process. Further, the TVC takes timing measurement without a sampling clock. Note that the sampling clock in the conventional approach must be an order of magnitude faster than the signal to be measured. In the demonstrations above, the is an order of magnitude slower than the jitter under measurement. REFERENCES [1] International Technology Roadmap for Semiconductors [Online]. Available: [2] A. Rothermel and F. Dell ova, Analog phase measuring circuit for digital CMOS ICs, IEEE J. Solid-State Circuits, vol. 28, pp , July [3] P. Chen and S.-I. Liu, A cyclic CMOS time-to-digital converter with deep sub-nanosecond resolution, in IEEE Custom IC Conf., 1999, pp [4] E. Raisanen-Ruotsalainen, T. Rahkonen, and J. Kostamovara, An integrated time-to-digital converter with 30-ps single-shot precision, IEEE J. Solid-State Circuits, vol. 35, pp , Oct [5] T. Xia and J. C. Lo, On-chip jitter measurement for phase locked loops, in IEEE Symp. Defect Fault Tolerance for VLSI System, Nov. 2002, pp

11 1748 IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 6, DECEMBER 2003 [6] R. E. Best, Phase-Locked Loops Design, Simulation, and Applications, 3rd ed. New York: McGraw-Hill, [7] G. Nash, Phase-Locked Loop Designa Fundamentals: Motorola Inc., 1994, AN535 App. Note. [8] D. Rosemarine, Accurately calculate PLL charge pump filter parameters, Microwaves RF, pp , Feb [9] O. T. C. Chen and R. R.-B. Sheen, A power-efficient wide-range phase locked loop, IEEE J. Solid State Curcuits, vol. 37, pp , Jan [10] J. Alvarez, H. Sanchez, G. Gerosa, and R. Countryman, A wide-bandwidth low-voltage PLL for PowerPC microprocessors, IEEE J. Solid- State Circuits, vol. 30, pp , Apr [11] A. Stevens, R. Van Berg, J. Van der Splegel, and H. Williams, A time-tovoltage converter and analog memory for colliding beam detectors, IEEE J. Solid-State Circuits, vol. 24, pp , Dec [12] M. Tanaka, H. Ikeda, M. Ikeda, and S. Inaba, Development of a monolithic time-to-amplitude converter for high precision TOF measurement, IEEE Trans. Nucl. Sci., vol. 38, pp , Apr [13] T. Yamaguchi, M. Soma, D. Halter, R. Raina, J. Nissen, and M. Ishida, A method for measuring the cycle-to-cycle period jitter of high-frequency clock signals, in Proc. IEEE VLSI Test Symp., 2001, pp [14] S. Cherubal and A. Chatterjee, A high-resolution jitter measurement techniques using ADC sampling, in Proc. Int. Test Conf., 2001, pp [15] T. Yamaguchi, M. Soma, M. Ishida, T. Watanabe, and T. Ohmi, Extraction of peak-to-peak and RMS sinusoidal jitter using an analytic signal method, in Proc. IEEE VLSI Test Symp., [16] L. Angrisani, A. Baccigalupi, and L. Ferrigno, Jitter measurement from theory to practice, in Proc. Instrumentation and Measurement Conf., vol. 3, 2000, pp [17] B. R. Veilette and G. W. Roberts, On-chip measurement of the jitter transfer function of charge-pump phase locked loops, IEEE J. Solid- State Circuits, vol. 33, pp , Mar [18], Stimulus generation for built-in self-test of charge-pump phaselocked loops, in Proc. Int. Test Conf., 1998, pp [19] S. Sunter and A. Roy, BIST for phase-locked loops in digital applications, in Proc. Int. Test Conf., 1999, pp [20] [Online]. Available: [21] R. Kuppuswamy, K. Callahan, K. Wong, D. Ratchen, and G. Taylor, On-die clock Jitter Detector for High Speed Microprocessors, in Proc. Symp. VLSI Circuits, June 2001, pp [22] Fujistu s Super PLL Application Guide [Online]. Available: [23] O. T. C. Chen and R. R. Sheen, A power-efficient wide-range phaselocked loops, IEEE J. Solid State Circuits, vol. 37, Jan [24] D. Nehring. Jitter FAQ. [Online]. Available: com/pdfs/press/jitter_faq2.pdf [25] J. Dunn, Jitter Theory,, Audio precision Technote. [26] Understanding Jitter. Wavecrest Corporation. [Online]. Available: [27] M. Shimanouchi, An approach to consistent jitter modeling for various jitter aspects and measurement methods, in Proc. Int. Test Conf., 2001, pp [28] V. F. Kroupa, Jitter and phase noise in frequency dividers, IEEE Trans. Instrum. Meas., vol. 50, Oct Tian Xia (M 01) received the B.E. degree in electrical engineering from Huazhong University of Science and Technology, Wuhan, China, in 1994, the M.S. degree from Nanjing University of Posts and Telecommunications, Nanjing, China, in 2000, and the Ph.D. degree in electrical and computer engineering from the University of Rhode Island, Kingston, in He is currently an Assistant Professor at the University of Vermont, Burlington. From 1994 to 1997, he was with Panda Electronics Company, Nanjing, China, working on microprocessors and FPGA. During the summers of 2002 and 2003, he worked in the PICA group at IBM T. J. Watson Research Center, Yorktown Heights, NY. His main research interests are in mixed-signal VLSI design and testing. Jien-Chung Lo (SM 97) received the Ph.D. degree in computer engineering from the University of Southwestern Louisiana, Lafayette, in He is currently a Professor with the Department of Electrical and Computer Engineering, University of Rhode Island, Kingston. He served as Guest Editor for the Journal of System Architecture in His current research interests include fault-tolerant computing, distributed computing, reliable logic circuit designs, and mixed-signal VLSI testing. Dr. Lo was the General Chair of the IEEE 8th North Atlantic Test Workshop, West Greenwich, RI, May 1999, Program Co-Chair of the IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, Yamanashi, Japan, October 2000, and the General Co-Chair of the IEEE Symposium on Defect and Fault Tolerance in VLSI Systems, October He is currently an Associate Editor of IEEE TRANSACTIONS ON COMPUTERS.

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link

A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link A 3.2Gb/s Clock and Data Recovery Circuit Without Reference Clock for a High-Speed Serial Data Link Kang jik Kim, Ki sang Jeong, Seong ik Cho The Department of Electronics Engineering Chonbuk National

More information

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION 35'th Annual Precise Time and Time Interval (PTTI) Systems and Applications Meeting San Diego, December 2-4, 2003 A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION Józef Kalisz and Ryszard Szplet

More information

IN RECENT YEARS, the increase of data transmission over

IN RECENT YEARS, the increase of data transmission over 1356 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A 3.125-Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet Rong-Jyi Yang, Student Member, IEEE, Shang-Ping Chen, and

More information

BURST-MODE communication relies on very fast acquisition

BURST-MODE communication relies on very fast acquisition IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 8, AUGUST 2005 437 Instantaneous Clockless Data Recovery and Demultiplexing Behnam Analui and Ali Hajimiri Abstract An alternative

More information

Phase-Locked Loop Based Clock Generators

Phase-Locked Loop Based Clock Generators Phase-Locked Loop Based Clock Generators INTRODUCTION As system clock frequencies reach 100 MHz and beyond maintaining control over clock becomes very important In addition to generating the various clocks

More information

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6

Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements. Application Note 1304-6 Loop Bandwidth and Clock Data Recovery (CDR) in Oscilloscope Measurements Application Note 1304-6 Abstract Time domain measurements are only as accurate as the trigger signal used to acquire them. Often

More information

On-chip clock error characterization for clock distribution system

On-chip clock error characterization for clock distribution system On-chip clock error characterization for clock distribution system Chuan Shan, Dimitri Galayko, François Anceau Laboratoire d informatique de Paris 6 (LIP6) Université Pierre & Marie Curie (UPMC), Paris,

More information

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D.

Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Clock Recovery in Serial-Data Systems Ransom Stephens, Ph.D. Abstract: The definition of a bit period, or unit interval, is much more complicated than it looks. If it were just the reciprocal of the data

More information

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS

MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS MONOLITHIC PHASE-LOCKED LOOPS AND CLOCK RECOVERY CIRCUITS THEORY AND DESIGN Edited by Behzad Razavi AT&T Bell Laboratories The Institute of Electrical and Electronics Engineers, Inc., New York P\WILEY-

More information

Portable Time Interval Counter with Picosecond Precision

Portable Time Interval Counter with Picosecond Precision Portable Time Interval Counter with Picosecond Precision R. SZPLET, Z. JACHNA, K. ROZYC, J. KALISZ Department of Electronic Engineering Military University of Technology Gen. S. Kaliskiego 2, 00-908 Warsaw

More information

A receiver TDC chip set for accurate pulsed time-of-flight laser ranging

A receiver TDC chip set for accurate pulsed time-of-flight laser ranging A receiver TDC chip set for accurate pulsed time-of-flight laser ranging Juha Kostamovaara, Sami Kurtti, Jussi-Pekka Jansson University of Oulu, Department of Electrical Engineering, Electronics Laboratory,

More information

A Laser Scanner Chip Set for Accurate Perception Systems

A Laser Scanner Chip Set for Accurate Perception Systems A Laser Scanner Chip Set for Accurate Perception Systems 313 A Laser Scanner Chip Set for Accurate Perception Systems S. Kurtti, J.-P. Jansson, J. Kostamovaara, University of Oulu Abstract This paper presents

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs

Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Optimizing VCO PLL Evaluations & PLL Synthesizer Designs Today s mobile communications systems demand higher communication quality, higher data rates, higher operation, and more channels per unit bandwidth.

More information

CHARGE pumps are the circuits that used to generate dc

CHARGE pumps are the circuits that used to generate dc INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 27 A Charge Pump Circuit by using Voltage-Doubler as Clock Scheme Wen Chang Huang, Jin Chang Cheng,

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

A New Programmable RF System for System-on-Chip Applications

A New Programmable RF System for System-on-Chip Applications Vol. 6, o., April, 011 A ew Programmable RF System for System-on-Chip Applications Jee-Youl Ryu 1, Sung-Woo Kim 1, Jung-Hun Lee 1, Seung-Hun Park 1, and Deock-Ho Ha 1 1 Dept. of Information and Communications

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

How PLL Performances Affect Wireless Systems

How PLL Performances Affect Wireless Systems May 2010 Issue: Tutorial Phase Locked Loop Systems Design for Wireless Infrastructure Applications Use of linear models of phase noise analysis in a closed loop to predict the baseline performance of various

More information

Timing Errors and Jitter

Timing Errors and Jitter Timing Errors and Jitter Background Mike Story In a sampled (digital) system, samples have to be accurate in level and time. The digital system uses the two bits of information the signal was this big

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop.

Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. Objectives Having read this workbook you should be able to: recognise the arrangement of NAND gates used to form an S-R flip-flop. describe how such a flip-flop can be SET and RESET. describe the disadvantage

More information

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico

Clocks Basics in 10 Minutes or Less. Edgar Pineda Field Applications Engineer Arrow Components Mexico Clocks Basics in 10 Minutes or Less Edgar Pineda Field Applications Engineer Arrow Components Mexico Presentation Overview Introduction to Clocks Clock Functions Clock Parameters Common Applications Summary

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

Vi, fi input. Vphi output VCO. Vosc, fosc. voltage-controlled oscillator

Vi, fi input. Vphi output VCO. Vosc, fosc. voltage-controlled oscillator Experiment #4 CMOS 446 Phase-Locked Loop c 1997 Dragan Maksimovic Department of Electrical and Computer Engineering University of Colorado, Boulder The purpose of this lab assignment is to introduce operating

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

Simplifying System Design Using the CS4350 PLL DAC

Simplifying System Design Using the CS4350 PLL DAC Simplifying System Design Using the CS4350 PLL 1. INTRODUCTION Typical Digital to Analog Converters (s) require a high-speed Master Clock to clock their digital filters and modulators, as well as some

More information

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member

Rong-Jyi YANG, Nonmember and Shen-Iuan LIU a), Member 1726 PAPER Special Section on Papers Selected from AP-ASIC 2004 A Fully Integrated 1.7 3.125 Gbps Clock and Data Recovery Circuit Using a Gated Frequency Detector Rong-Jyi YANG, Nonmember and Shen-Iuan

More information

MAINTENANCE & ADJUSTMENT

MAINTENANCE & ADJUSTMENT MAINTENANCE & ADJUSTMENT Circuit Theory The concept of PLL system frequency synthesization is not of recent development, however, it has not been a long age since the digital theory has been couplet with

More information

Clock Jitter Definitions and Measurement Methods

Clock Jitter Definitions and Measurement Methods January 2014 Clock Jitter Definitions and Measurement Methods 1 Introduction Jitter is the timing variations of a set of signal edges from their ideal values. Jitters in clock signals are typically caused

More information

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features

TRIPLE PLL FIELD PROG. SPREAD SPECTRUM CLOCK SYNTHESIZER. Features DATASHEET ICS280 Description The ICS280 field programmable spread spectrum clock synthesizer generates up to four high-quality, high-frequency clock outputs including multiple reference clocks from a low-frequency

More information

Design and analysis of flip flops for low power clocking system

Design and analysis of flip flops for low power clocking system Design and analysis of flip flops for low power clocking system Gabariyala sabadini.c PG Scholar, VLSI design, Department of ECE,PSNA college of Engg and Tech, Dindigul,India. Jeya priyanka.p PG Scholar,

More information

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

Chapter 9 Latches, Flip-Flops, and Timers

Chapter 9 Latches, Flip-Flops, and Timers ETEC 23 Programmable Logic Devices Chapter 9 Latches, Flip-Flops, and Timers Shawnee State University Department of Industrial and Engineering Technologies Copyright 27 by Janna B. Gallaher Latches A temporary

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Computer Aided Design of Home Medical Alert System

Computer Aided Design of Home Medical Alert System Computer Aided Design of Home Medical Alert System Submitted to The Engineering Honors Committee 119 Hitchcock Hall College of Engineering The Ohio State University Columbus, Ohio 43210 By Pei Chen Kan

More information

A Lesson on Digital Clocks, One Shots and Counters

A Lesson on Digital Clocks, One Shots and Counters A Lesson on Digital Clocks, One Shots and Counters Topics Clocks & Oscillators LM 555 Timer IC Crystal Oscillators Selection of Variable Resistors Schmitt Gates Power-On Reset Circuits One Shots Counters

More information

Laboratory 4: Feedback and Compensation

Laboratory 4: Feedback and Compensation Laboratory 4: Feedback and Compensation To be performed during Week 9 (Oct. 20-24) and Week 10 (Oct. 27-31) Due Week 11 (Nov. 3-7) 1 Pre-Lab This Pre-Lab should be completed before attending your regular

More information

Chapter 6 PLL and Clock Generator

Chapter 6 PLL and Clock Generator Chapter 6 PLL and Clock Generator The DSP56300 core features a Phase Locked Loop (PLL) clock generator in its central processing module. The PLL allows the processor to operate at a high internal clock

More information

Lab Unit 4: Oscillators, Timing and the Phase Locked Loop

Lab Unit 4: Oscillators, Timing and the Phase Locked Loop Chemistry 8 University of WisconsinMadison Lab Unit : Oscillators, Timing and the Phase Locked Loop Oscillators and timing circuits are very widely used in electronic measurement instrumentation. In this

More information

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION Introduction The outputs from sensors and communications receivers are analogue signals that have continuously varying amplitudes. In many systems

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS

TDA4605 CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS CONTROL CIRCUIT FOR SWITCH MODE POWER SUPPLIES USING MOS TRANSISTORS Fold-Back Characteristic provides Overload Protection for External Diodes Burst Operation under Short-Circuit and no Load Conditions

More information

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS Objective In this experiment you will study the i-v characteristics of an MOS transistor. You will use the MOSFET as a variable resistor and as a switch. BACKGROUND

More information

THE BASICS OF PLL FREQUENCY SYNTHESIS

THE BASICS OF PLL FREQUENCY SYNTHESIS Supplementary Reading for 27 - Oscillators Ron Bertrand VK2DQ http://www.radioelectronicschool.com THE BASICS OF PLL FREQUENCY SYNTHESIS The phase locked loop (PLL) method of frequency synthesis is now

More information

DS2187 Receive Line Interface

DS2187 Receive Line Interface Receive Line Interface www.dalsemi.com FEATURES Line interface for T1 (1.544 MHz) and CEPT (2.048 MHz) primary rate networks Extracts clock and data from twisted pair or coax Meets requirements of PUB

More information

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller

Clock- and data-recovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Downloaded from orbit.dtu.dk on: Jan 04, 2016 Clock and datarecovery IC with demultiplexer for a 2.5 Gb/s ATM physical layer controller Hansen, Flemming; Salama, C.A.T. Published in: Proceedings of the

More information

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications

Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications Design and Modelling of Clock and Data Recovery Integrated Circuit in 130 nm CMOS Technology for 10 Gb/s Serial Data Communications A THESIS SUBMITTED TO THE DEPARTMENT OF ELECTRONICS AND ELECTRICAL ENGINEERING

More information

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram

ICS379. Quad PLL with VCXO Quick Turn Clock. Description. Features. Block Diagram Quad PLL with VCXO Quick Turn Clock Description The ICS379 QTClock TM generates up to 9 high quality, high frequency clock outputs including a reference from a low frequency pullable crystal. It is designed

More information

A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector

A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector JOURNAL OF ELECTRONIC SCIENCE AND TECHNOLOGY, VOL. 10, NO. 1, MARCH 2012 67 A 2 Gbps to 12 Gbps Wide-Range CDR with Automatic Frequency Band Selector Chao-Ye Wen, Zhi-Ge Zou, Wei He, Jian-Ming Lei, and

More information

Extended Resolution TOA Measurement in an IFM Receiver

Extended Resolution TOA Measurement in an IFM Receiver Extended Resolution TOA Measurement in an IFM Receiver Time of arrival (TOA) measurements define precisely when an RF signal is received, necessary in the identification of type and mode of RF and radar

More information

MAX 10 Clocking and PLL User Guide

MAX 10 Clocking and PLL User Guide MAX 10 Clocking and PLL User Guide Subscribe UG-M10CLKPLL 2015.11.02 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 Clocking and PLL Overview... 1-1 Clock Networks Overview...

More information

Digital to Analog Converter. Raghu Tumati

Digital to Analog Converter. Raghu Tumati Digital to Analog Converter Raghu Tumati May 11, 2006 Contents 1) Introduction............................... 3 2) DAC types................................... 4 3) DAC Presented.............................

More information

PLAS: Analog memory ASIC Conceptual design & development status

PLAS: Analog memory ASIC Conceptual design & development status PLAS: Analog memory ASIC Conceptual design & development status Ramón J. Aliaga Instituto de Física Corpuscular (IFIC) Consejo Superior de Investigaciones Científicas (CSIC) Universidad de Valencia Vicente

More information

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector

A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 761 A 10-Gb/s CMOS Clock and Data Recovery Circuit with a Half-Rate Linear Phase Detector Jafar Savoj, Student Member, IEEE, and Behzad Razavi,

More information

1997 Mixed-Signal Products SLAA011B

1997 Mixed-Signal Products SLAA011B Application Report 1997 Mixed-Signal Products SLAA011B Contents 1 Introduction................................................................................... 1 2 Theory of an Analog Phase-Locked Loop

More information

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking

Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking Electromagnetic interference (EMI), once the exclusive concern of equipment designers working with high-speed signals, is no longer

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

A true low voltage class-ab current mirror

A true low voltage class-ab current mirror A true low voltage class-ab current mirror A. Torralba, 1a) R. G. Carvajal, 1 M. Jiménez, 1 F. Muñoz, 1 and J. Ramírez-Angulo 2 1 Departamento de Ingeniería Electrónica, Escuela Superior de Ingenieros,

More information

The front end of the receiver performs the frequency translation, channel selection and amplification of the signal.

The front end of the receiver performs the frequency translation, channel selection and amplification of the signal. Many receivers must be capable of handling a very wide range of signal powers at the input while still producing the correct output. This must be done in the presence of noise and interference which occasionally

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

FINAL PROJECT THESIS. Ana Armendáriz Hugalde. ANALYSIS AND DESIGN OF PFDs IN CADENCE

FINAL PROJECT THESIS. Ana Armendáriz Hugalde. ANALYSIS AND DESIGN OF PFDs IN CADENCE ARISTOTLE UNIVERSITY OF THESSALONIKI FACULTY OF PHYSICS ELECTRONICS DEPARTMENT FINAL PROJECT THESIS Ana Armendáriz Hugalde ANALYSIS AND DESIGN OF PFDs IN CADENCE Supervisor: Dr. T. Laopoulos, professor,

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Tire pressure monitoring

Tire pressure monitoring Application Note AN601 Tire pressure monitoring 1 Purpose This document is intended to give hints on how to use the Intersema pressure sensors in a low cost tire pressure monitoring system (TPMS). 2 Introduction

More information

RF Network Analyzer Basics

RF Network Analyzer Basics RF Network Analyzer Basics A tutorial, information and overview about the basics of the RF Network Analyzer. What is a Network Analyzer and how to use them, to include the Scalar Network Analyzer (SNA),

More information

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of

Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of Hello and welcome to this training module for the STM32L4 Liquid Crystal Display (LCD) controller. This controller can be used in a wide range of applications such as home appliances, medical, automotive,

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits

Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits Analysis and Design of Robust Multi-Gb/s Clock and Data Recovery Circuits by David J. Rennie A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of

More information

Self-Evaluation Configuration for Remote Data Logging Systems

Self-Evaluation Configuration for Remote Data Logging Systems IEEE International Workshop on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications 6-8 September 2007, Dortmund, Germany Self-Evaluation Configuration for Remote Data

More information

HANDBOOK. Measuring System DESIGN EDITORS PETER H. SYDENHAM RICHARD THORN ARTICLE OFFPRINT

HANDBOOK. Measuring System DESIGN EDITORS PETER H. SYDENHAM RICHARD THORN ARTICLE OFFPRINT HANDBOOK OF Measuring System DESIGN EDITORS PETER H. SYDENHAM RICHARD THORN ARTICLE OFFPRINT 200: Calibrations and Standards in Time Measurement Michael A. Lombardi National Institute of Standards and

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

Parametric variation analysis of CUK converter for constant voltage applications

Parametric variation analysis of CUK converter for constant voltage applications ISSN (Print) : 232 3765 (An ISO 3297: 27 Certified Organization) Vol. 3, Issue 2, February 214 Parametric variation analysis of CUK converter for constant voltage applications Rheesabh Dwivedi 1, Vinay

More information

Low latency synchronization through speculation

Low latency synchronization through speculation Low latency synchronization through speculation D.J.Kinniment, and A.V.Yakovlev School of Electrical and Electronic and Computer Engineering, University of Newcastle, NE1 7RU, UK {David.Kinniment,Alex.Yakovlev}@ncl.ac.uk

More information

Electromagnetic. Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking EMI CONTROL. The authors describe the

Electromagnetic. Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking EMI CONTROL. The authors describe the From September 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC Reducing EMI and Improving Signal Integrity Using Spread Spectrum Clocking By Jeffrey Batchelor and Jimmy Ma Silicon

More information

LM139/LM239/LM339/LM2901/LM3302 Low Power Low Offset Voltage Quad Comparators

LM139/LM239/LM339/LM2901/LM3302 Low Power Low Offset Voltage Quad Comparators Low Power Low Offset Voltage Quad Comparators General Description The LM139 series consists of four independent precision voltage comparators with an offset voltage specification as low as 2 mv max for

More information

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies Soonwook Hong, Ph. D. Michael Zuercher Martinson Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies 1. Introduction PV inverters use semiconductor devices to transform the

More information

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India

S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Power reduction on clock-tree using Energy recovery and clock gating technique S. Venkatesh, Mrs. T. Gowri, Department of ECE, GIT, GITAM University, Vishakhapatnam, India Abstract Power consumption of

More information

Programmable Single-/Dual-/Triple- Tone Gong SAE 800

Programmable Single-/Dual-/Triple- Tone Gong SAE 800 Programmable Single-/Dual-/Triple- Tone Gong Preliminary Data SAE 800 Bipolar IC Features Supply voltage range 2.8 V to 18 V Few external components (no electrolytic capacitor) 1 tone, 2 tones, 3 tones

More information

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET

ICS650-44 SPREAD SPECTRUM CLOCK SYNTHESIZER. Description. Features. Block Diagram DATASHEET DATASHEET ICS650-44 Description The ICS650-44 is a spread spectrum clock synthesizer intended for video projector and digital TV applications. It generates three copies of an EMI optimized 50 MHz clock

More information

A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator

A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator 910 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 6, JUNE 2001 A 1.25-GHz 0.35-m Monolithic CMOS PLL Based on a Multiphase Ring Oscillator Lizhong Sun and Tadeusz A. Kwasniewski, Member, IEEE Abstract

More information

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS U. Pogliano, B. Trinchera, G.C. Bosco and D. Serazio INRIM Istituto Nazionale di Ricerca Metrologica Torino (Italia)

More information

PECL and LVDS Low Phase Noise VCXO (for 65-130MHz Fund Xtal) XIN XOUT N/C N/C CTRL VCON (0,0) OESEL (Pad #25) 1 (default)

PECL and LVDS Low Phase Noise VCXO (for 65-130MHz Fund Xtal) XIN XOUT N/C N/C CTRL VCON (0,0) OESEL (Pad #25) 1 (default) Reserved BUF BUF 62 mil OESEL^ Reserved Reserved PL520-30 FEATURES 65MHz to 130MHz Fundamental Mode Crystals. Output range (no PLL): 65MHz 130MHz (3.3V). 65MHz 105MHz (2.5V). Low Injection Power for crystal

More information

Implementation of Digital Signal Processing: Some Background on GFSK Modulation

Implementation of Digital Signal Processing: Some Background on GFSK Modulation Implementation of Digital Signal Processing: Some Background on GFSK Modulation Sabih H. Gerez University of Twente, Department of Electrical Engineering s.h.gerez@utwente.nl Version 4 (February 7, 2013)

More information

Clocking Solutions. Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing. ti.

Clocking Solutions. Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing. ti. ing Solutions Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing ti.com/clocks 2014 Accelerate Time-to-Market with Easy-to-Use ing Solutions Texas Instruments

More information

A Digital Timer Implementation using 7 Segment Displays

A Digital Timer Implementation using 7 Segment Displays A Digital Timer Implementation using 7 Segment Displays Group Members: Tiffany Sham u2548168 Michael Couchman u4111670 Simon Oseineks u2566139 Caitlyn Young u4233209 Subject: ENGN3227 - Analogue Electronics

More information