JTAG (IEEE /P1149.4)

Size: px
Start display at page:

Download "JTAG (IEEE 1149.1/P1149.4)"

Transcription

1 (IEEE 49./P49.4) utorial Introductory L Sept ()-ut.I- 997 I est Symposium genda What Is? (5 minutes) he Increasing Problem of est (5 minutes) Conventional Methods of est ( minutes) he Boundary-Scan Idea (5 minutes) he Boundary-Scan rchitecture (5 minutes) ypical pplications (5 minutes) Interconnect esting Logic Cluster esting Memory esting System-Level est Real pplications ( minutes) For More Information (5 minutes) Q & ( minutes) L Sept ()-ut.I I est Symposium Standard pproach o est What Is? / IEEE 49. Developed by oint est ction roup (over 2 SC, test, and system vendors) starting in mid '8's Sanctioned by IEEE as Std 49. est ccess Port and Boundary-Scan rchitecture in 99 Solution: Build test facilities/test points into chips Focus: Ensure compatibility between all compliant ICs L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium Standard est ccess Port... and Boundary-Scan rchitecture RS* P 4/5-Wire Interface at Chip-Level Serial Instruction/Serial Data Port Extensible to Include User Register Scan effectively partitions digital logic to facilitate control and observation of its function Chip-Internal Scan: Partitions chips at storage cells (latches/ flipflops) to effectively partition sequential logic into clusters of combinational logic Boundary-Scan: Partitions boards at chip I/Os for control and observation of board-level nodes user-defined instructions user-defined data registers L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium

2 he Increasing Problem of est he Incredible Shrinking Board Miniaturization results in loss of test access Yesterday oday om orrow? L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium he Ever-Expanding Chip Increasing integration at chip level complicates controllability? C D C D Can t fford Not o est Cost will increase by a factor of ten as fault finding moves from one level of complexity to the next. he result: Reduced Profit Margins Delayed Product Introduction Dissatisfied Customers Yesterday oday. Device level unit of cost 2. Board level units of cost 3. System level units of cost 4. Field level, units of cost L Sept ()-ut.I I est Symposium L Sept ()-ut.I- 997 I est Symposium Conventional Methods of est Conventional Methods of Board est Functional est ( Edge-Connector est) Based on board function, rather than structure est generation primarily manual est access limited to primary I/O only L Sept ()-ut.I- 997 I est Symposium L Sept ()-ut.I I est Symposium

3 Conventional Methods of Board est In-Circuit est ( Bed-of-Nails est) Based on board structure, but limited by chip complexity Expensive testers and fixtures required est access limited by: Fine pitch packages Double-sided boards Conformal coating MCMs Conventional Methods of Board est In-Circuit est ( Bed-of-Nails est) Chip function can be ignored for shorts testing Chip function must be considered for continuity test est generation, though automated, requires IC models L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium he Boundary Scan Idea he Boundary-Scan Idea In-Circuit test points moved onto the silicon, creating Virtual Nails Boundary scan cells bound each net, providing for continuity testing Observe/Control cells provide for test and normal function L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium he Boundary Scan Idea Boundary Scan Method of Board est Scan provides a means to arbitrarily observe test results and source test stimulus Based on board structure; Not limited by chip function/ complexity Scan method requires minimal on chip/board resources (pins/nets) est access is not limited by board physical factors L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium

4 Boundary Scan Method of Board est he Boundary Scan Cell Chip function need not be considered for board test (shorted/open nets) est generation is highly automated; Simple In-Circuit Library models (BSDL) are vendorsupplied or ED-generated NI (Normal Input) SO (Serial Output) OBSERVE CONROL ES/D MUX CPURE/SCN MUX SCN UPDE LCH/FLOP LCH/FLOP SI (Serial Input) NO (Normal Output) L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium P he Control rchitecture Boundary scan and other test data registers operate under control of instruction register Data is scanned from to through selected test data register or instruction register under control of est ccess Port (P) controller P operates synchronously to using for state selection state transitions occur on rising edge of based on the current state and the input value ONLY he est ccess Port est Logic Reset Run est/idle Select -Scan Capture Shift- Exit - Pause- Exit 2- Select -Scan Capture- Shift- Exit - Pause- Exit 2-6-state P provides 4 major operations: RESE RUN-ES SCN- SCN- Scans consist of 3 primary steps: CPURE SHIF UPDE Update- Update- L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium P he Extest Instruction (REQUED) Provides for test external to chip, such as interconnect test Output pins operate in test mode, driven from contents of BSC update latch Input data captured in BSC scan latches prior to shift operation Shift operation allows test response to be observed at while next test stimulus inserted at Following shift operation, new test stimulus transferred to BSC update latches he Sample/Preload Instruction (REQUED) P Provides means to preload boundary before entry to test mode Output and input pins operate in normal mode Input pin data and core logic output data captured in BSC scan latches Shift operation allows test response to be observed while next test stimulus inserted at Following shift operation, new stimulus transferred to BSC update latches L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium

5 he Bypass Instruction (REQUED) Provides for abbreviated scan path through chip Output and input pins operate in normal mode he one-bit bypass register is selected for scans ypical pplications P Mandatory that an all-ones value updated into the decodes to Bypass, as well as any opcodes which are otherwise undefined L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium Interconnect est Full B/S Board Interconnect est Partial B/S Board ll nets bound by BSC's and/or primary I/O requiring no physical access Not all nets are bound by boundary scan and/or primary I/O, perhaps requiring some IC access Parallel access reduced to card edge only est generation and application fast and easy Expense and complexity reduced for test generation and test application for chips/nets with B/S access Cluster testing may be used to access non-scan nets L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium Logic Cluster est Logic Cluster est LOIC "CLUSER" Random-logic cluster is bound by boundaryscannable chips Deterministic test stimulus (P-generated) can be driven to cluster from B/S outputs est response can be captured at B/S inputs BIS methods (PRP/PS) can be used for increased test throughput and near "t-speed" performance Microprocessor LV852 Parallel Data In LV852 LV852 Scan Path Control Logic (Non-Scan) IEEE 49. Latch B852 B852 LV854 ddress Control Registered ransceiver Data Memory rray L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium

6 Memory est Memory est Latch B852 B852 ddress Control Registered ransceiver LV854 Data Memory rray Memory array bound by boundary scan chips utomatic test patterns can be generated and driven from B/S outputs est response can be captured at B/S inputs ransceivers can test for net shorts w/o memory R/W BIS methods (PRP/PS) can be used for increased test throughput B B2 B3 B4 2B 2B2 2B3 2B4 D SN74BC SN74BC8244 Y Y2 Y3 Y4 2Y 2Y2 2Y3 2Y4 256 x 8 RM rray D D D2 D3 D4 D5 D6 D7 WE CS MODE IEEE 49. EXES & SMPLE) IEEE 49. (with BIS capability) ime o pply Scans Patterns ime o pply Scans Patterns Y Y2 Y3 Y4 2Y 2Y2 2Y3 2Y4 SN74BC CCESSES Seconds Seconds 7 52,, CCESSES 375. Minutes 2,, 2,, <. Minutes 28, 2,, L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium System-Level est S P S P S P P-addressable interface unit extends access beyond board-level System-level test System design verification Sys integration (Mfg test) Sys self-test (Field Svc) Supports in place board test and board-to-board test Real pplications llows reuse of device/ board test data SP-ddressable Scan Port Device L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium Real pplications of the P Design Verification/Debug I N E R N L S C N E S BIS Emulation Programming P Scan access to chips, boards, systems for: Design verification/debug Manufacturing test Hardware/software integration Field test/diagnostics ccess built-in self-test (BIS) ccess on-chip/in-circuit emulation (ONCE/ICE) ccess in-system programming (ISP) of PLDs/EEPROMs Let your imagination run wild!!! Provides control and observation of system under test without need for physical access Ease of set-up for test Can be used in standard system configuration (no need for card extenders, etc.) Can be used in environmental chambers Can access on-chip emulation for software/debug Can access ISP for code download/offload/ changes L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium

7 Manufacturing est System Configuration Maintenance IC Provides test and diagnostic capabilities of in-circuit test without need/expense of physical access Improved fault coverage/diagnostic without large capital expense Highly automated test generation reduces test development time Provides low-level test access within configured systems for: In-house system integration Fielded-system test and diagnostics Built-in self-test In-field upgradability via ISP, etc. Remote field test, diagnostic and upgrade L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium I s Educational Products Call (24) to ORDER IEEE Standards Call (8) 678-IEEE to ORDER Scan Educator PC-based tutorial with interactive boundary-scan simulation. FREE download at IEEE 49. estability videotapes wo-part video presenting an overview and instructions for boundary scan. Item No. SV (NSC VHS) and SV2 (PL VHS), $49 each. In process of converting to MPE on CD-ROM IEEE 49. Boundary-Scan IEEE Std (Includes IEEE Std 49.a-993), IEEE Standard est ccess Port and Boundary-Scan rchitecture, ISBN , IEEE order number SH6626. he official document which specifies the international standard for a test access port and boundary-scan architecture. Informally known as the standard, it was officially ratified by the IEEE in February 99. Since, it has been supplemented twice. he first supplement, ratified in une 993, is included in the referenced document. he second supplement is currently a separate document, as referenced below. IEEE Std 49.b-994, Supplement to IEEE Std , ISBN , IEEE order number SH he official document which specifies the international standard for a boundary-scan description language. his supplement to IEEE Std was ratified in September 994. L Sept ()-ut.I I est Symposium L Sept ()-ut.I I est Symposium utorials/handbooks he est ccess Port and Boundary-Scan rchitecture, Colin M. Maunder, Rodham E. ulloss, ed., IEEE CS Press, ISBN Edited by two principal chairs of the IEEE 49. working group, this Computer Society tutorial compiles several of the seminal papers on boundaryscan along with several invited papers on various topics including applications, implementation, and others. It will primarily be of interest to the design and/or test engineer. he Boundary-Scan Handbook, Kenneth P. Parker, Kluwer cademic Publishers, ISBN uthored by the principal force behind the Boundary-Scan Description Language (BSDL) and an IEEE 49. working group principal as well as a long time manufacturing and design-for-test expert, this is truly considered HE indispensable handbook on boundary scan for the design and/or test engineer. Boundary-Scan est - Practical pproach, Harry Bleeker, Peter van den Eijnden, Frans de ong, Kluwer cademic Publishers, ISBN uthored by several and IEEE 49. working group principals, this book is a ready reference to boundary-scan technology, its benefits, and considerations for design and test managers and engineers. L Sept ()-ut.I I est Symposium SIC SP E P BIS B/S BSC BSDL BSR BS CE DF DSP ED ebc FP HSDL ICE IC bbreviations/cronyms pplication-specific Integrated Circuit ddressable Scan Port utomatic est Equipment utomatic est Pattern eneration Built-In Self-est Boundary-Scan Boundary-Scan Cell Boundary-Scan Description Language Boundary-Scan Register Boundary-Scan est Computer-ided Engineering Design-for-est Data Register Digital Signal Processing/Processor Electronic Design utomation Embedded est Bus Field-Programmable ate rray Hierarchical Scan Description Language In-Circuit Emulation In-Circuit est L Sept ()-ut.I I est Symposium IEEE ISP MCM Mfg PCB PLD PRP PS PWB SPL SVF P BC RS UU Institute of Electrical & Electronics Engineers In-System Programming oint est ction roup Multi-Chip Module Manufacturing Printed Circuit Board Programmable Logic Device Pseudo-Random Pattern eneration Parallel Signature nalysis Printed Wiring Board Scan Path Linker Serial Vector Format est ccess Port est Bus est Clock est Data Input est Data Output est Mode Select est Reset Unit Under est

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition

TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition TABLE OF CONTENTS List of Figures xiii List of Tables xviii List of Design-for-Test Rules xix Preface to the First Edition xxi Preface to the Second Edition xxiii Acknowledgement xxv 1 Boundary-Scan Basics

More information

The Boundary Scan Test (BST) technology

The Boundary Scan Test (BST) technology The Boundary Scan Test () technology J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 35 225 8 748 / Fax: 35 225 8 443 (jmf@fe.up.pt / http://www.fe.up.pt/~jmf) Objectives

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 ABSTRACT Mechanical and chemical process challenges initially limited acceptance of surface mount technology (SMT). As those challenges

More information

In-System Programmability

In-System Programmability In-System Programmability in MAX Devices September 2005, ver. 1.5 Application Note 95 Introduction Features & Benefits MAX devices are programmable logic devices (PLDs), based on the Altera Multiple Array

More information

Primer. Semiconductor Group

Primer. Semiconductor Group Primer 1997 Semiconductor Group IEEE Std 1149.1 (JTAG) Testability Primer i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Erik Larsson Linköping University Gunnar Carlsson Ericsson ABSTRACT Modern chips may contain a large number

More information

Boundary-Scan Tutorial

Boundary-Scan Tutorial See the ASSET homepage on the World Wide Web at http://www.asset-intertech.com ASSET and the ASSET logo are registered trademarks of ASSET InterTech, Inc. Windows is a registered trademark of Microsoft

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Design Verification & Testing Design for Testability and Scan

Design Verification & Testing Design for Testability and Scan Overview esign for testability (FT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing

More information

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs AN-628-1.0 Application Note This application note describes how to use the Agilent 3070 test system to achieve faster programming

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

ATF1500AS Device Family. Application Note. In-System Programming of Atmel ATF1500AS Devices on the HP3070. Introduction.

ATF1500AS Device Family. Application Note. In-System Programming of Atmel ATF1500AS Devices on the HP3070. Introduction. In-System Programming of Atmel ATF1500AS Devices on the HP3070 Introduction In-System Programming (ISP) support of Programmable Logic Devices (PLD) is becoming a requirement for customers using Automated

More information

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations Alan J. Albee Teradyne Inc. North Reading, Massachusetts

More information

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow MII51011-1.1 Introduction Small capacity, non-volatile memory is commonly used in storing manufacturing data (e.g., manufacturer

More information

Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections

Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections Manuel G. Gericota, Gustavo R. Alves Department of Electrical Engineering ISEP Rua Dr. António Bernardino

More information

Notes and terms of conditions. Vendor shall note the following terms and conditions/ information before they submit their quote.

Notes and terms of conditions. Vendor shall note the following terms and conditions/ information before they submit their quote. Specifications for ARINC 653 compliant RTOS & Development Environment Notes and terms of conditions Vendor shall note the following terms and conditions/ information before they submit their quote. 1.

More information

Testing and Programming PCBA s during Design and in Production

Testing and Programming PCBA s during Design and in Production Testing and Programming PCBA s during Design and in Production Hogeschool van Arnhem en Nijmegen 6 June 23 Rob Staals JTAG Technologies robstaals@jtag.com Copyright 23, JTAG Technologies juni 3 The importance

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor

Von der Hardware zur Software in FPGAs mit Embedded Prozessoren. Alexander Hahn Senior Field Application Engineer Lattice Semiconductor Von der Hardware zur Software in FPGAs mit Embedded Prozessoren Alexander Hahn Senior Field Application Engineer Lattice Semiconductor AGENDA Overview Mico32 Embedded Processor Development Tool Chain HW/SW

More information

REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687

REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687 REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Erik Larsson Linköping University Gunnar Carlsson Ericsson ABSTRACT Modern chips may contain

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family.

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family. In-System Design TM February 2002 Introduction In-system programming (ISP ) has often been billed as a direct replacement for configuring a device through a programmer. The idea that devices can simply

More information

Computer Organization and Components

Computer Organization and Components Computer Organization and Components IS5, fall 25 Lecture : Pipelined Processors ssociate Professor, KTH Royal Institute of Technology ssistant Research ngineer, University of California, Berkeley Slides

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1 MICROPROCESSOR A microprocessor incorporates the functions of a computer s central processing unit (CPU) on a single Integrated (IC), or at most a few integrated circuit. It is a multipurpose, programmable

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten

ALL-USB-RS422/485. User Manual. USB to Serial Converter RS422/485. ALLNET GmbH Computersysteme 2015 - Alle Rechte vorbehalten ALL-USB-RS422/485 USB to Serial Converter RS422/485 User Manual ALL-USB-RS422/485 USB to RS-422/485 Plugin Adapter This mini ALL-USB-RS422/485 is a surge and static protected USB to RS-422/485 Plugin Adapter.

More information

Using Altera MAX Series as Microcontroller I/O Expanders

Using Altera MAX Series as Microcontroller I/O Expanders 2014.09.22 Using Altera MAX Series as Microcontroller I/O Expanders AN-265 Subscribe Many microcontroller and microprocessor chips limit the available I/O ports and pins to conserve pin counts and reduce

More information

Selecting the Optimum PCI Express Clock Source

Selecting the Optimum PCI Express Clock Source Selecting the Optimum PCI Express Clock Source PCI Express () is a serial point-to-point interconnect standard developed by the Component Interconnect Special Interest Group (PCI-SIG). lthough originally

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Manufacturing Backend

Manufacturing Backend Manufacturing Backend Anusha Hiremath, Apoorva Dhavale, K V Roopa, Keertee Savadi, Aruna S. Nayak Computer Science and Engineering and Technology BVB College of Engineering and Technology Hubli, Karnataka,

More information

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure

Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Test Driven Development of Embedded Systems Using Existing Software Test Infrastructure Micah Dowty University of Colorado at Boulder micah@navi.cx March 26, 2004 Abstract Traditional software development

More information

Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687

Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687 Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Gunnar Carlsson and Erik Larsson Linköping University Post Print N.B.: When citing this

More information

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2

Contents. Overview... 5-1 Memory Compilers Selection Guide... 5-2 Memory Compilers 5 Contents Overview... 5-1 Memory Compilers Selection Guide... 5-2 CROM Gen... 5-3 DROM Gen... 5-9 SPSRM Gen... 5-15 SPSRM Gen... 5-22 SPRM Gen... 5-31 DPSRM Gen... 5-38 DPSRM Gen... 5-47

More information

Power Reduction Techniques in the SoC Clock Network. Clock Power

Power Reduction Techniques in the SoC Clock Network. Clock Power Power Reduction Techniques in the SoC Network Low Power Design for SoCs ASIC Tutorial SoC.1 Power Why clock power is important/large» Generally the signal with the highest frequency» Typically drives a

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Fondamenti su strumenti di sviluppo per microcontrollori PIC

Fondamenti su strumenti di sviluppo per microcontrollori PIC Fondamenti su strumenti di sviluppo per microcontrollori PIC MPSIM ICE 2000 ICD 2 REAL ICE PICSTART Ad uso interno del corso Elettronica e Telecomunicazioni 1 2 MPLAB SIM /1 MPLAB SIM is a discrete-event

More information

Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency. SPI compatible modes 0 and 3

Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency. SPI compatible modes 0 and 3 32Mb, 2.5V or 2.7V Atmel ataflash ATASHEET Features Single 2.5V - 3.6V or 2.7V - 3.6V supply Atmel RapidS serial interface: 66MHz maximum clock frequency SPI compatible modes 0 and 3 User configurable

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering Extended Boundary Scan Test breaching the analog ban Marcel Swinnen, teamleader test engineering 11-11-2014 2 zero-defect quality impossible to produce zero-defect boards early involvement services (Design

More information

Section 33. Programming and Diagnostics

Section 33. Programming and Diagnostics Section 33. and Diagnostics HGHLGHTS This section of the manual contains the following topics: 33.1 ntroduction... 33-2 33.2 n-ircuit Serial (SP )... 33-2 33.3 Enhanced n-ircuit Serial... 33-3 33.4 JTAG

More information

I 2 S bus specification

I 2 S bus specification 1.0 INTOUCTION Many digital audio systems are being introduced into the consumer audio market, including compact disc, digital audio tape, digital sound processors, and digital TV-sound. The digital audio

More information

Display Message on Notice Board using GSM

Display Message on Notice Board using GSM Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 7 (2013), pp. 827-832 Research India Publications http://www.ripublication.com/aeee.htm Display Message on Notice Board

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

Chapter 02: Computer Organization. Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures

Chapter 02: Computer Organization. Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures Chapter 02: Computer Organization Lesson 04: Functional units and components in a computer organization Part 3 Bus Structures Objective: Understand the IO Subsystem and Understand Bus Structures Understand

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards

Read-only memory Implementing logic with ROM Programmable logic devices Implementing logic with PLDs Static hazards Points ddressed in this Lecture Lecture 8: ROM Programmable Logic Devices Professor Peter Cheung Department of EEE, Imperial College London Read-only memory Implementing logic with ROM Programmable logic

More information

Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs

Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs Run-Time Scheduling Support for Hybrid CPU/FPGA SoCs Jason Agron jagron@ittc.ku.edu Acknowledgements I would like to thank Dr. Andrews, Dr. Alexander, and Dr. Sass for assistance and advice in both research

More information

Memory unit. 2 k words. n bits per word

Memory unit. 2 k words. n bits per word 9- k address lines Read n data input lines Memory unit 2 k words n bits per word n data output lines 24 Pearson Education, Inc M Morris Mano & Charles R Kime 9-2 Memory address Binary Decimal Memory contents

More information

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia C8051F020 Utilization in an Embedded Digital Design Project Course Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia Abstract In this paper, the utilization of the C8051F020 in an

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM * Monire Norouzi Young Researchers and Elite Club, Shabestar Branch, Islamic Azad University, Shabestar, Iran *Author for Correspondence ABSTRACT

More information

Module 1 Overview ControlLogix5000

Module 1 Overview ControlLogix5000 Module 1 Overview ControlLogix5000 Module Overview This module takes a fundamental approach to a ControlLogix system. It begins with an overview of the architecture and migrates into an introduction of

More information

Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada

Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada Open Architecture Design for GPS Applications Yves Théroux, BAE Systems Canada BIOGRAPHY Yves Théroux, a Project Engineer with BAE Systems Canada (BSC) has eight years of experience in the design, qualification,

More information

CSE2102 Digital Design II - Topics CSE2102 - Digital Design II

CSE2102 Digital Design II - Topics CSE2102 - Digital Design II CSE2102 Digital Design II - Topics CSE2102 - Digital Design II 6 - Microprocessor Interfacing - Memory and Peripheral Dr. Tim Ferguson, Monash University. AUSTRALIA. Tel: +61-3-99053227 FAX: +61-3-99053574

More information

Chapter 10. Boundary Scan and Core-Based Testing

Chapter 10. Boundary Scan and Core-Based Testing Chapter 10 Boundary Scan and Core-Based Testing VLSI Test Principles and Architectures Ch. 10 - Boundary Scan and Core-Based Testing - P. 1 1 Outline Introduction Digital Boundary Scan (1149.1) Boundary

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

UMBC. ISA is the oldest of all these and today s computers still have a ISA bus interface. in form of an ISA slot (connection) on the main board.

UMBC. ISA is the oldest of all these and today s computers still have a ISA bus interface. in form of an ISA slot (connection) on the main board. Bus Interfaces Different types of buses: ISA (Industry Standard Architecture) EISA (Extended ISA) VESA (Video Electronics Standards Association, VL Bus) PCI (Periheral Component Interconnect) USB (Universal

More information

What s New in Agilent Medalist i3070 08.40p Software Release

What s New in Agilent Medalist i3070 08.40p Software Release What s New in 08.40p Software Release The 08.40p software release provides the following features: DataLog Converter Testplan Security Enhancement Support for Inline ICT System Transfer Pin Enhancement

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS RELIABILITY IN SUBSEA ELECTRONICS TECHNIQUES TO OBTAIN HIGH RELIABILITY STIG-HELGE LARSEN KARSTEN KLEPPE DATA RESPONS 2012-10-16 AGENDA Introduction Analysis and Design

More information

Lecture N -1- PHYS 3330. Microcontrollers

Lecture N -1- PHYS 3330. Microcontrollers Lecture N -1- PHYS 3330 Microcontrollers If you need more than a handful of logic gates to accomplish the task at hand, you likely should use a microcontroller instead of discrete logic gates 1. Microcontrollers

More information

An Introduction to MPLAB Integrated Development Environment

An Introduction to MPLAB Integrated Development Environment An Introduction to MPLAB Integrated Development Environment 2004 Microchip Technology Incorporated An introduction to MPLAB Integrated Development Environment Slide 1 This seminar is an introduction to

More information

This Datasheet for the IC697CPM790. GMR Redundancy CPU, 486, 2K Triplex (voted) I/O. http://www.cimtecautomation.com/parts/p-14778-ic697cpm790.

This Datasheet for the IC697CPM790. GMR Redundancy CPU, 486, 2K Triplex (voted) I/O. http://www.cimtecautomation.com/parts/p-14778-ic697cpm790. his Datasheet for the GMR Redundancy CPU, 486, 2K riplex (voted) I/O. http://www.cimtecautomation.com/parts/p-14778-ic697cpm790.aspx Provides the wiring diagrams and installation guidelines for this GE

More information

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Steven J.E. Wilton and Resve Saleh Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

SAN Conceptual and Design Basics

SAN Conceptual and Design Basics TECHNICAL NOTE VMware Infrastructure 3 SAN Conceptual and Design Basics VMware ESX Server can be used in conjunction with a SAN (storage area network), a specialized high speed network that connects computer

More information

CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE. Ioan Lemeni

CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE. Ioan Lemeni CNC FOR EDM MACHINE TOOL HARDWARE STRUCTURE Ioan Lemeni Computer and Communication Engineering Department Faculty of Automation, Computers and Electronics University of Craiova 13, A.I. Cuza, Craiova,

More information

A Pausible Bisynchronous FIFO for GALS Systems

A Pausible Bisynchronous FIFO for GALS Systems 2015 Symposium on Asynchronous Circuits and Systems A Pausible Bisynchronous FIFO for GALS Systems Ben Keller*, Ma@hew FojCk*, Brucek Khailany* *NVIDIA CorporaCon University of California, Berkeley May

More information

Appendix A. by Gordon Getty, Agilent Technologies

Appendix A. by Gordon Getty, Agilent Technologies Appendix A Test, Debug and Verification of PCI Express Designs by Gordon Getty, Agilent Technologies Scope The need for greater I/O bandwidth in the computer industry has caused designers to shift from

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB OBJECTIVES 1. Introduction to latches and the D type flip-flop 2. Use of actual flip-flops to help you understand sequential

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology

Topics of Chapter 5 Sequential Machines. Memory elements. Memory element terminology. Clock terminology Topics of Chapter 5 Sequential Machines Memory elements Memory elements. Basics of sequential machines. Clocking issues. Two-phase clocking. Testing of combinational (Chapter 4) and sequential (Chapter

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 80 Document Version: 1.1 Document Date: July 2008 Copyright 2008 Altera

More information

Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors

Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors Hsin-Ming Chen, Chung-Fu Kao and Ing-Jer Huang Dept. of Computer Science and Engineering National Sun Yat-Sen

More information

IMPORTANT PRODUCT INFORMATION

IMPORTANT PRODUCT INFORMATION January 2010 IMPORTANT PRODUCT INFORMATION READ THIS INFORMATION FIRST Product: Max-ON Hot-Backup Redundancy Software, Release 2.05 Introduction Max-ON is a trademark of GE Intelligent Platforms, Inc.

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

2011, The McGraw-Hill Companies, Inc. Chapter 5

2011, The McGraw-Hill Companies, Inc. Chapter 5 Chapter 5 5.1 Processor Memory Organization The memory structure for a PLC processor consists of several areas, some of these having specific roles. With rack-based memory structures addresses are derived

More information

Automated Time & Attendance System BIG EFFICIENCY FOR BUSINESS

Automated Time & Attendance System BIG EFFICIENCY FOR BUSINESS Automated Time & Attendance System BIG EFFICIENCY FOR BUSINESS PAYROLL BECOMES A UTOMATIC Business owners used to have little choice when it came to processing time and attendance data either spend a lot

More information

Power Noise Analysis of Large-Scale Printed Circuit Boards

Power Noise Analysis of Large-Scale Printed Circuit Boards Power Noise Analysis of Large-Scale Printed Circuit Boards V Toshiro Sato V Hiroyuki Adachi (Manuscript received July 6, 2007) Recent increases in digital-equipment operation frequency and decreases in

More information

VASCO Data Security International, Inc. DIGIPASS GO-7. FIPS 140-2 Non-Proprietary Cryptographic Module Security Policy

VASCO Data Security International, Inc. DIGIPASS GO-7. FIPS 140-2 Non-Proprietary Cryptographic Module Security Policy VASCO Data Security International, Inc. DIGIPASS GO-7 FIPS 140-2 Non-Proprietary Cryptographic Module Security Policy Security Level: 2 Version: 1.7 Date: August 12, 2015 Copyright VASCO Data Security

More information

Application Of Build-in Self Test In Functional Test Of DSL

Application Of Build-in Self Test In Functional Test Of DSL Application Of Build-in Self Test In Functional Test Of DSL YaJun Gu, Ye Qin, ZhiJun Wang, David Wei, Andrew Ho, Stephen Chen, Zhen (Jane) Feng Ph. D., Murad Kurwa No.77 Yong Sheng Road, Malu, Jiading,

More information

Random-Access Memory (RAM) The Memory Hierarchy. SRAM vs DRAM Summary. Conventional DRAM Organization. Page 1

Random-Access Memory (RAM) The Memory Hierarchy. SRAM vs DRAM Summary. Conventional DRAM Organization. Page 1 Random-ccess Memor (RM) The Memor Hierarch Topics Storage technologies and trends Localit of reference Caching in the hierarch Ke features RM is packaged as a chip. Basic storage unit is a cell (one bit

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to VLSI Testing 李 昆 忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan, R.O.C. Introduction to VLSI Testing.1 Problems to Think A 32 bit adder A

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

SOFTWARE-IMPLEMENTED SAFETY LOGIC Angela E. Summers, Ph.D., P.E., President, SIS-TECH Solutions, LP

SOFTWARE-IMPLEMENTED SAFETY LOGIC Angela E. Summers, Ph.D., P.E., President, SIS-TECH Solutions, LP SOFTWARE-IMPLEMENTED SAFETY LOGIC Angela E. Summers, Ph.D., P.E., President, SIS-TECH Solutions, LP Software-Implemented Safety Logic, Loss Prevention Symposium, American Institute of Chemical Engineers,

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Using Xbee 802.15.4 in Serial Communication

Using Xbee 802.15.4 in Serial Communication Using Xbee 802.15.4 in Serial Communication Jason Grimes April 2, 2010 Abstract Instances where wireless serial communication is required to connect devices, Xbee RF modules are effective in linking Universal

More information