TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition

Size: px
Start display at page:

Download "TABLE OF CONTENTS. xiii List of Tables. xviii List of Design-for-Test Rules. xix Preface to the First Edition. xxi Preface to the Second Edition"

Transcription

1 TABLE OF CONTENTS List of Figures xiii List of Tables xviii List of Design-for-Test Rules xix Preface to the First Edition xxi Preface to the Second Edition xxiii Acknowledgement xxv 1 Boundary-Scan Basics and Vocabulary Digital Test Before Boundary-Scan Edge-Connector Functional Testing In-Circuit Testing The Philosophy of Basic Architecture The TAP Controller The Instruction Register Data Registers The Boundary Register Optimizing a Boundary Register Cell Design Architecture Summary Field-Programmable IC Devices Boundary-Scan Chains Non-Invasive Operational Modes BYPASS IDCODE USERCODE SAMPLE PRELOAD Pin-Permission Operational Modes EXTEST INTEST RUNBIST HIGHZ CLAMP Exceptions Due to Clocking Extensibility Subordination of IEEE Costs and Benefits Costs Benefits Trends Other Testability Standards 46

2 2 Boundary-Scan Description Language (BSDL) The Scope of BSDL Testing Compliance Assurance Synthesis Structure of BSDL Entity Descriptions 61 Generic Parameter 62 Logical Port Description 62 Standard USE Statement 63 Use Statements 64 Component Conformance Statement Device Package Pin Mappings Grouped Port Identification TAP Port Identification Compliance Enable Description Instruction Register Description Optional Register Description Register Access Description Boundary-Scan Register Description RUNBIST Execution Description INTEST Execution Description User Extensions to BSDL Design Warnings 2.4 Some advanced BSDL Topics Merged Cells Asymmetrical Drivers BSDL Description of 74BCT8374 Packages and Package Bodies STD_1149_1_ Cell Description Constants Basic Cell Definitions BC_0 to BC_7 User-Defined Boundary Cells Definition of BSDL Extensions Writing BSDL Summary Boundary-Scan Testing Basic Boundary-Scan Testing The Scanning Sequence Basic Test Algorithm The Personal Tester Versus ATE In-Circuit Boundary-Scan IC Test IC BIST 118 viii

3 3.2 Testing with Boundary-Scan Chains Chain Integrity Interconnect Test Connection Tests Interaction Tests BIST and Custom Tests Porting Boundary-Scan Tests Summary 4 Advanced Boundary-Scan Topics DC Parametric IC Tests Sample Mode Tests Concurrent Monitoring Non-Scan IC Testing Non-Digital Device Testing Mixed Digital/Analog Testing Multi-Chip Module Testing Firmware Development Support In-System Configuration Hardware Fault Insertion Design for Boundary-Scan Test Integrated Circuit Level DFT TAP Pin Placement Power and Ground Distribution Instruction Capture Pattern Damage Resistant Drivers Output Pins Bidirectional Pins Post-Lobotomy Behavior IDCODEs User-Defined Instructions Creation and Verification of BSDL Board-Level DFT Chain Configurations TCK/TMS Distribution Mixed Logic Families Board Level Conflicts Control of Critical Nodes Power Distribution Boundary-Scan Masters Post-Lobotomy Board Behavior System-Level DFT The MultiDrop Problem Coordination with Other Standards Summary ix

4 6 Analog Measurement Basics Analog In-Circuit Testing Analog Failures Measuring an Impedance Errors and Corrections Measurement Hardware Limited Access Testing Node Voltage Analysis Testing With Node Voltages Limited Access Node Voltage Testing The Mixed-Signal Test Environment Summary IEEE Analog Boundary-Scan Vocabulary and Basics The Target Fault Spectrum Extended Interconnect Digital Pins Analog Pins General Architecture of an IC Silicon Switches The Analog Test Access Port (ATAP) The Test Bus Interface Circuit (TBIC) The Analog Boundary Module (ABM) The Digital Boundary Module (DBM) The Instruction Set The EXTEST Instruction The CLAMP Instruction The HIGHZ Instruction The PROBE Instruction The RUNBIST Instruction The INTEST Instruction Other Provisions of Differential ATAP Port Differential I/O Partitioned Internal Test Buses Specifications and Limits Design for Testability Integrated Circuit Level Board Level System Level Summary 261 Epilog: What Next for /1149.4? 262 x

5 APPENDIX A: BSDL Syntax Specifications 263 A.1 Conventions 263 A.2 A.3 A.4 A.5 Lexical elements of BSDL Notes on syntax definition BSDL Syntax User Package Syntax Bibliography Index xi

The Boundary Scan Test (BST) technology

The Boundary Scan Test (BST) technology The Boundary Scan Test () technology J. M. Martins Ferreira FEUP / DEEC - Rua Dr. Roberto Frias 42-537 Porto - PORTUGAL Tel. 35 225 8 748 / Fax: 35 225 8 443 (jmf@fe.up.pt / http://www.fe.up.pt/~jmf) Objectives

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Primer. Semiconductor Group

Primer. Semiconductor Group Primer 1997 Semiconductor Group IEEE Std 1149.1 (JTAG) Testability Primer i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue any semiconductor

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

JTAG (IEEE 1149.1/P1149.4)

JTAG (IEEE 1149.1/P1149.4) (IEEE 49./P49.4) utorial Introductory L Sept.-97 49.()-ut.I- 997 I est Symposium genda What Is? (5 minutes) he Increasing Problem of est (5 minutes) Conventional Methods of est ( minutes) he Boundary-Scan

More information

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 ABSTRACT Mechanical and chemical process challenges initially limited acceptance of surface mount technology (SMT). As those challenges

More information

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687

A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 A STUDY OF INSTRUMENT REUSE AND RETARGETING IN P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Erik Larsson Linköping University Gunnar Carlsson Ericsson ABSTRACT Modern chips may contain a large number

More information

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs AN-628-1.0 Application Note This application note describes how to use the Agilent 3070 test system to achieve faster programming

More information

Chapter 10. Boundary Scan and Core-Based Testing

Chapter 10. Boundary Scan and Core-Based Testing Chapter 10 Boundary Scan and Core-Based Testing VLSI Test Principles and Architectures Ch. 10 - Boundary Scan and Core-Based Testing - P. 1 1 Outline Introduction Digital Boundary Scan (1149.1) Boundary

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Boundary-Scan Tutorial

Boundary-Scan Tutorial See the ASSET homepage on the World Wide Web at http://www.asset-intertech.com ASSET and the ASSET logo are registered trademarks of ASSET InterTech, Inc. Windows is a registered trademark of Microsoft

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

Encounter DFT Architect

Encounter DFT Architect Full-chip, synthesis-based, power-aware test architecture development Cadence Encounter DFT Architect addresses and optimizes multiple design and manufacturing objectives such as timing, area, wiring,

More information

REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687

REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687 REUSING AND RETARGETING ON-CHIP INSTRUMENT ACCESS PROCEDURES IN IEEE P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Erik Larsson Linköping University Gunnar Carlsson Ericsson ABSTRACT Modern chips may contain

More information

What s New in Agilent Medalist i3070 08.40p Software Release

What s New in Agilent Medalist i3070 08.40p Software Release What s New in 08.40p Software Release The 08.40p software release provides the following features: DataLog Converter Testplan Security Enhancement Support for Inline ICT System Transfer Pin Enhancement

More information

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family.

In-System Programming Design TM. Guidelines for ispjtag Devices. Introduction. Device-specific Connections. isplsi 1000EA Family. In-System Design TM February 2002 Introduction In-system programming (ISP ) has often been billed as a direct replacement for configuring a device through a programmer. The idea that devices can simply

More information

Application Of Build-in Self Test In Functional Test Of DSL

Application Of Build-in Self Test In Functional Test Of DSL Application Of Build-in Self Test In Functional Test Of DSL YaJun Gu, Ye Qin, ZhiJun Wang, David Wei, Andrew Ho, Stephen Chen, Zhen (Jane) Feng Ph. D., Murad Kurwa No.77 Yong Sheng Road, Malu, Jiading,

More information

ATF1500AS Device Family. Application Note. In-System Programming of Atmel ATF1500AS Devices on the HP3070. Introduction.

ATF1500AS Device Family. Application Note. In-System Programming of Atmel ATF1500AS Devices on the HP3070. Introduction. In-System Programming of Atmel ATF1500AS Devices on the HP3070 Introduction In-System Programming (ISP) support of Programmable Logic Devices (PLD) is becoming a requirement for customers using Automated

More information

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM * Monire Norouzi Young Researchers and Elite Club, Shabestar Branch, Islamic Azad University, Shabestar, Iran *Author for Correspondence ABSTRACT

More information

In-System Programmability

In-System Programmability In-System Programmability in MAX Devices September 2005, ver. 1.5 Application Note 95 Introduction Features & Benefits MAX devices are programmable logic devices (PLDs), based on the Altera Multiple Array

More information

Design Verification & Testing Design for Testability and Scan

Design Verification & Testing Design for Testability and Scan Overview esign for testability (FT) makes it possible to: Assure the detection of all faults in a circuit Reduce the cost and time associated with test development Reduce the execution time of performing

More information

Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687

Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687 Reusing and Retargeting On-Chip Instrument Access Procedures in IEEE P1687 Farrokh Ghani Zadegan, Urban Ingelsson, Gunnar Carlsson and Erik Larsson Linköping University Post Print N.B.: When citing this

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow

Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow Chapter 11. Using MAX II User Flash Memory for Data Storage in Manufacturing Flow MII51011-1.1 Introduction Small capacity, non-volatile memory is commonly used in storing manufacturing data (e.g., manufacturer

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Testing and Programming PCBA s during Design and in Production

Testing and Programming PCBA s during Design and in Production Testing and Programming PCBA s during Design and in Production Hogeschool van Arnhem en Nijmegen 6 June 23 Rob Staals JTAG Technologies robstaals@jtag.com Copyright 23, JTAG Technologies juni 3 The importance

More information

Design For Test (DFT) Guidelines for Boundary-Scan Testing

Design For Test (DFT) Guidelines for Boundary-Scan Testing Design For Test (DFT) Guidelines for Boundary-Scan Testing A Guide for PCB Designers, Test Engineers and Managers Copyright 1997 2015, Corelis Inc. Corelis, Inc. 13100 Alondra Blvd. Cerritos, CA 90703

More information

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations Alan J. Albee Teradyne Inc. North Reading, Massachusetts

More information

The System Designer's Guide to VHDL-AMS

The System Designer's Guide to VHDL-AMS The System Designer's Guide to VHDL-AMS Analog, Mixed-Signal, and Mixed-Technology Modeling Peter J. Ashenden EDA CONSULTANT, ASHENDEN DESIGNS PTY. LTD. VISITING RESEARCH FELLOW, ADELAIDE UNIVERSITY Gregory

More information

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering

Extended Boundary Scan Test breaching the analog ban. Marcel Swinnen, teamleader test engineering Extended Boundary Scan Test breaching the analog ban Marcel Swinnen, teamleader test engineering 11-11-2014 2 zero-defect quality impossible to produce zero-defect boards early involvement services (Design

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections

Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections Programmable Logic Devices: A Test Approach for the Input/Output Blocks and Pad-to-Pin Interconnections Manuel G. Gericota, Gustavo R. Alves Department of Electrical Engineering ISEP Rua Dr. António Bernardino

More information

Modern Industrial Automation Software Design

Modern Industrial Automation Software Design Modern Industrial Automation Software Design Principles and Real-World Applications Lingfeng Wang Kay Chen Tan IEEE PRESS WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION Contents Preface Acknowledgments

More information

Introduction to VLSI Testing

Introduction to VLSI Testing Introduction to VLSI Testing 李 昆 忠 Kuen-Jong Lee Dept. of Electrical Engineering National Cheng-Kung University Tainan, Taiwan, R.O.C. Introduction to VLSI Testing.1 Problems to Think A 32 bit adder A

More information

MasterBlaster Serial/USB Communications Cable User Guide

MasterBlaster Serial/USB Communications Cable User Guide MasterBlaster Serial/USB Communications Cable User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 80 Document Version: 1.1 Document Date: July 2008 Copyright 2008 Altera

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VII Lecture-I Introduction to Digital VLSI Testing Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VII Lecture-I Introduction to Digital VLSI Testing VLSI Design, Verification and Test Flow Customer's Requirements Specifications

More information

ATE for Manufacturing Test. Major ATE Companies: Teradyne, Credence, Agilent, Advantest, NPTest... Agilent 83K. Advantest T6682

ATE for Manufacturing Test. Major ATE Companies: Teradyne, Credence, Agilent, Advantest, NPTest... Agilent 83K. Advantest T6682 ATE for Manufacturing Test Major ATE Companies: Teradyne, Credence, Agilent, Advantest, NPTest... Agilent 83K Advantest T6682 1 Block Diagram for T6682 Block diagram for Advantest Model T6682 described

More information

Section 33. Programming and Diagnostics

Section 33. Programming and Diagnostics Section 33. and Diagnostics HGHLGHTS This section of the manual contains the following topics: 33.1 ntroduction... 33-2 33.2 n-ircuit Serial (SP )... 33-2 33.3 Enhanced n-ircuit Serial... 33-3 33.4 JTAG

More information

Memory Testing. Memory testing.1

Memory Testing. Memory testing.1 Memory Testing Introduction Memory Architecture & Fault Models Test Algorithms DC / AC / Dynamic Tests Built-in Self Testing Schemes Built-in Self Repair Schemes Memory testing.1 Memory Market Share in

More information

IEEE P802.3af DTE Power via MDI Task Force Presentation Phil Holland Phil.Holland@Circa.CA

IEEE P802.3af DTE Power via MDI Task Force Presentation Phil Holland Phil.Holland@Circa.CA DC Power Discovery Algorithm IEEE P802.3af DTE Power via MDI Task Force Presentation Phil Holland Phil.Holland@Circa.CA Contents Design Considerations Based on the DTE Power via MDI Task Force Objectives

More information

Wireless Approach for SIP and SOC Testing

Wireless Approach for SIP and SOC Testing Wireless Approach for SIP and SOC Testing Ziad Noun To cite this version: Ziad Noun. Wireless Approach for SIP and SOC Testing. Micro and nanotechnologies/microelectronics. Université Montpellier II -

More information

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09 The Advanced JTAG Bridge Nathan Yawn nathan.yawn@opencores.org 05/12/09 Copyright (C) 2008-2009 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the

More information

INDUSTRIAL CONTROL TECHNOLOGY. A Handbook for Engineers and Researchers. Peng Zhang. Beijing Normal University, People's Republic of China

INDUSTRIAL CONTROL TECHNOLOGY. A Handbook for Engineers and Researchers. Peng Zhang. Beijing Normal University, People's Republic of China INDUSTRIAL CONTROL TECHNOLOGY A Handbook for Engineers and Researchers Peng Zhang Beijing Normal University, People's Republic of China Ш I William I Andrew Norwich, NY, USA Contents Preface 1 Sensors

More information

SOFTWARE TESTING AS A SERVICE

SOFTWARE TESTING AS A SERVICE SOFTWARE TESTING AS A SERVICE ASHFAQUE AHMED (g) CRC Press Taylor & Francis Croup Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Group, an informa business AN AUERBACH BOOK

More information

GENERIC REQUIREMENTS FOR UNINTERRUPTIBLE POWER SYSTEMS (UPS) TABLE OF CONTENTS

GENERIC REQUIREMENTS FOR UNINTERRUPTIBLE POWER SYSTEMS (UPS) TABLE OF CONTENTS TR TSY 000757 GENERIC REQUIREMENTS FOR UNINTERRUPTIBLE POWER SYSTEMS (UPS) TABLE OF CONTENTS 1. Introduction.... 1.1 Purpose and Scope 1.2 Organization.. 1.3 Reason for Reissue From Technical Advisory

More information

High-Frequency Integrated Circuits

High-Frequency Integrated Circuits High-Frequency Integrated Circuits SORIN VOINIGESCU University of Toronto CAMBRIDGE UNIVERSITY PRESS CONTENTS Preface, page xiii Introduction l 1.1 High-frequency circuits in wireless, fiber-optic, and

More information

MAX II ISP Update with I/O Control & Register Data Retention

MAX II ISP Update with I/O Control & Register Data Retention MAX II ISP Update with I/O Control & Register Data Retention March 2006, ver 1.0 Application Note 410 Introduction MAX II devices support the real-time in-system mability (ISP) feature that allows you

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Module 1 Overview ControlLogix5000

Module 1 Overview ControlLogix5000 Module 1 Overview ControlLogix5000 Module Overview This module takes a fundamental approach to a ControlLogix system. It begins with an overview of the architecture and migrates into an introduction of

More information

G. Squillero, M. Rebaudengo. Test Techniques for Systems-on-a-Chip

G. Squillero, M. Rebaudengo. Test Techniques for Systems-on-a-Chip G. Squillero, M. Rebaudengo Test Techniques for Systems-on-a-Chip December 2005 Preface Fast innovation in VLSI technologies makes possible the integration a complete system into a single chip (System-on-Chip,

More information

TIBCO Rendezvous Administration. Software Release 8.3.0 July 2010

TIBCO Rendezvous Administration. Software Release 8.3.0 July 2010 TIBCO Rendezvous Administration Software Release 8.3.0 July 2010 Important Information SOME TIBCO SOFTWARE EMBEDS OR BUNDLES OTHER TIBCO SOFTWARE. USE OF SUCH EMBEDDED OR BUNDLED TIBCO SOFTWARE IS SOLELY

More information

AC 2010-969: DEVELOPING AN INDUSTRY-DRIVEN GRADUATE CERTIFICATE IN TEST ENGINEERING FOR ELECTRICAL ENGINEERING TECHNOLOGISTS

AC 2010-969: DEVELOPING AN INDUSTRY-DRIVEN GRADUATE CERTIFICATE IN TEST ENGINEERING FOR ELECTRICAL ENGINEERING TECHNOLOGISTS AC 2010-969: DEVELOPING AN INDUSTRY-DRIVEN GRADUATE CERTIFICATE IN TEST ENGINEERING FOR ELECTRICAL ENGINEERING TECHNOLOGISTS Nasser Alaraje, Michigan Technological University Dr. Alaraje s research interests

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Manufacturing Backend

Manufacturing Backend Manufacturing Backend Anusha Hiremath, Apoorva Dhavale, K V Roopa, Keertee Savadi, Aruna S. Nayak Computer Science and Engineering and Technology BVB College of Engineering and Technology Hubli, Karnataka,

More information

THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI TESTING

THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI TESTING Zbornik radova 56. Konferencije za ETRAN, Zlatibor, 11-14. juna 2012. Proc. 56th ETRAN Conference, Zlatibor, June 11-14, 2012 THE ADVANTAGES OF COMBINING LOW PIN COUNT TEST WITH SCAN COMPRESSION OF VLSI

More information

Workflow Administration of Windchill 10.2

Workflow Administration of Windchill 10.2 Workflow Administration of Windchill 10.2 Overview Course Code Course Length TRN-4339-T 2 Days In this course, you will learn about Windchill workflow features and how to design, configure, and test workflow

More information

Teaching the Importance of Data Correlation in Engineering Technology

Teaching the Importance of Data Correlation in Engineering Technology Session 3549 Teaching the Importance of Data Correlation in Engineering Technology Michael R. Warren, Dana M. Burnett, Jay R. Porter, and Rainer J. Fink Texas A&M University Abstract To meet the needs

More information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information

Computer Network. Interconnected collection of autonomous computers that are able to exchange information Introduction Computer Network. Interconnected collection of autonomous computers that are able to exchange information No master/slave relationship between the computers in the network Data Communications.

More information

Series Six Plus Programmable Controller

Series Six Plus Programmable Controller Series Six Plus Programmable Controller Gl?K-0147B June 1989 Central Processor Unit 8-Slot Rack 1l-Slot Rack General Description The Central Processor Unit (CPU) for the Series Six Plus Programmable Logic

More information

Remote Testing and Diagnosis of System-on-Chips Using Network Management Frameworks 1

Remote Testing and Diagnosis of System-on-Chips Using Network Management Frameworks 1 Remote Testing and Diagnosis of System-on-Chips Using Network Management Frameworks 1 Oussama Laouamri & Chouki Aktouf DeFacTo Technologies, 167 rue de Mayoussard, 38 430 Moirans, FRANCE Abstract This

More information

A New Multi-site Test for System-on-Chip Using Multi-site Star Test Architecture

A New Multi-site Test for System-on-Chip Using Multi-site Star Test Architecture A New Multi-site Test for System-on-Chip Using Multi-site Star Test Architecture Dongkwan Han, Yong Lee, and Sungho Kang As the system-on-chip (SoC) design becomes more complex, the test costs are increasing.

More information

TPM Product Type 107-D162-NNT 48-channel digital input and 16-channel digital output module with pluggable terminal

TPM Product Type 107-D162-NNT 48-channel digital input and 16-channel digital output module with pluggable terminal Product Type 107-D162-NNT 48-channel digital input and 16-channel digital output module with pluggable terminal Specifications Size: (L122 x W123 x H104 mm) Series Interface: Half duplex RS-485 with transformer

More information

Siemens AG 2013. LOGO! App V1.0.0 LOGO! Edition 03/2013. Manual. Answers for industry.

Siemens AG 2013. LOGO! App V1.0.0 LOGO! Edition 03/2013. Manual. Answers for industry. LOGO! App V1.0.0 LOGO! Manual Edition 03/2013 Answers for industry. The free of charge LOGO! App enables you to monitor actual process values of your LOGO! 0BA7 application with a smart phone or a tablet

More information

Interconnection Networks

Interconnection Networks Advanced Computer Architecture (0630561) Lecture 15 Interconnection Networks Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Interconnection Networks: Multiprocessors INs can be classified based on: 1. Mode

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

A New Programmable RF System for System-on-Chip Applications

A New Programmable RF System for System-on-Chip Applications Vol. 6, o., April, 011 A ew Programmable RF System for System-on-Chip Applications Jee-Youl Ryu 1, Sung-Woo Kim 1, Jung-Hun Lee 1, Seung-Hun Park 1, and Deock-Ho Ha 1 1 Dept. of Information and Communications

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

Instrumentação Suportada em Computadores Pessoais Instrumentation

Instrumentação Suportada em Computadores Pessoais Instrumentation 1 Instrumentação Suportada em Computadores Pessoais PC-Based Instrumentation A. Lopes Ribeiro arturlr@ist.utl.pt 2 Objectives To give the students the ability to design and implement automated data acquisition

More information

Balun Parameter Definitions & Measurement May 2004

Balun Parameter Definitions & Measurement May 2004 Balun Parameter Definitions & Measurement May 2004 Differential circuits are becoming more widely used in RF circuits for the same reason that they have been used for years in lower frequency circuits.

More information

Beckhoff TwinCAT. Configuring the TwinCAT I/O System

Beckhoff TwinCAT. Configuring the TwinCAT I/O System Beckhoff TwinCAT Configuring the TwinCAT I/O System Revision: 1.1 Updated: 16 November 2004 Table Of Contents 1. Introduction...3 2. Configuration of TwinCAT I/O System...4 2.1. Scan Devices Automatically...

More information

DEDICATED TO EMBEDDED SOLUTIONS

DEDICATED TO EMBEDDED SOLUTIONS DEDICATED TO EMBEDDED SOLUTIONS RELIABILITY IN SUBSEA ELECTRONICS TECHNIQUES TO OBTAIN HIGH RELIABILITY STIG-HELGE LARSEN KARSTEN KLEPPE DATA RESPONS 2012-10-16 AGENDA Introduction Analysis and Design

More information

STF201-22 & STF201-30

STF201-22 & STF201-30 Description The STF201 is a combination EMI filter and line termination device with integrated TVS diodes for use on downstream USB ports. It is constructed using a proprietary technology that allows passive

More information

Software Test Plan (STP) Template

Software Test Plan (STP) Template (STP) Template Items that are intended to stay in as part of your document are in bold; explanatory comments are in italic text. Plain text is used where you might insert wording about your project. This

More information

Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors

Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors Analysis of Hardware and Software Approaches to Embedded In-Circuit Emulation of Microprocessors Hsin-Ming Chen, Chung-Fu Kao and Ing-Jer Huang Dept. of Computer Science and Engineering National Sun Yat-Sen

More information

Wireless Sensor Networks

Wireless Sensor Networks Edgar H. Callaway, Jr. Wireless Sensor Networks Architectures and Protocols A AUERBACH PUBLICATIONS A CRC Press Company Boca Raton London New York Washington, D.C. Chapter 1 Introduction to Wireless Sensor

More information

INTEGRATING TESTABILITY ANALYSIS TOOLS WITH AUTOMATIC TEST SYSTEMS (ATS)

INTEGRATING TESTABILITY ANALYSIS TOOLS WITH AUTOMATIC TEST SYSTEMS (ATS) INTEGRATING TESTABILITY ANALYSIS TOOLS WITH AUTOMATIC TEST SYSTEMS (ATS) Joseph Pillari, Thaddeus (Ted) Pertowski, and Adelbert Protin GEC Marconi Electronic Systems Corporation 150 Parish Drive Wayne,

More information

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys

PCI Express: The Evolution to 8.0 GT/s. Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCI Express: The Evolution to 8.0 GT/s Navraj Nandra, Director of Marketing Mixed-Signal and Analog IP, Synopsys PCIe Enterprise Computing Market Transition From Gen2 to Gen3 Total PCIe instances. 2009

More information

21555 Non-Transparent PCI-to- PCI Bridge

21555 Non-Transparent PCI-to- PCI Bridge 21555 Non-Transparent PCI-to- PCI Bridge Specification Update December 2002 Notice: The 21555 may contain design defects or errors known as errata. Characterized errata that may cause the 21555 s behavior

More information

How To Write A Diagram

How To Write A Diagram Data Model ing Essentials Third Edition Graeme C. Simsion and Graham C. Witt MORGAN KAUFMANN PUBLISHERS AN IMPRINT OF ELSEVIER AMSTERDAM BOSTON LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Joint Interpretation Library

Joint Interpretation Library for smart cards and similar devices Document purpose: provide requirements to developers and guidance to evaluators to fulfill the Security Architecture requirements of CC V3 ADV_ARC family. Version 2.0

More information

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005

Ingar Fredriksen AVR Applications Manager. Tromsø August 12, 2005 Ingar Fredriksen AVR Applications Manager Tromsø August 12, 2005 Atmel Norway 2005 Atmel Norway 2005 The history of computers Foundation for modern computing 3 An automatic computing machine must have:

More information

Windchill PDMLink 10.2. Curriculum Guide

Windchill PDMLink 10.2. Curriculum Guide Windchill PDMLink 10.2 Curriculum Guide Live Classroom Curriculum Guide Update to Windchill PDMLink 10.2 from Windchill PDMLink 9.0/9.1 for the End User Introduction to Windchill PDMLink 10.2 for Light

More information

Pro Apache Hadoop. Second Edition. Sameer Wadkar. Madhu Siddalingaiah

Pro Apache Hadoop. Second Edition. Sameer Wadkar. Madhu Siddalingaiah Pro Apache Hadoop Second Edition Sameer Wadkar Madhu Siddalingaiah Contents J About the Authors About the Technical Reviewer Acknowledgments Introduction xix xxi xxiii xxv Chapter 1: Motivation for Big

More information

LatticeECP3 High-Speed I/O Interface

LatticeECP3 High-Speed I/O Interface April 2013 Introduction Technical Note TN1180 LatticeECP3 devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic built into the

More information

TIBCO Rendezvous Concepts. Software Release 8.3.0 July 2010

TIBCO Rendezvous Concepts. Software Release 8.3.0 July 2010 TIBCO Rendezvous Concepts Software Release 8.3.0 July 2010 Important Information SOME TIBCO SOFTWARE EMBEDS OR BUNDLES OTHER TIBCO SOFTWARE. USE OF SUCH EMBEDDED OR BUNDLED TIBCO SOFTWARE IS SOLELY TO

More information

Microtronics technologies Mobile: 99707 90092

Microtronics technologies Mobile: 99707 90092 For more Project details visit: http://www.projectsof8051.com/rfid-based-attendance-management-system/ Code Project Title 1500 RFid Based Attendance System Synopsis for RFid Based Attendance System 1.

More information

About the Authors Preface Acknowledgements List of Acronyms

About the Authors Preface Acknowledgements List of Acronyms Contents About the Authors Preface Acknowledgements List of Acronyms xiii xv xvii xix Part One Wireless Ad Hoc, Sensor and Mesh Networking 1 1 Introduction 3 1.1 Information Security 4 1.1.1 Computer Security

More information

DG2302. High-Speed, Low r ON, SPST Analog Switch. Vishay Siliconix. (1-Bit Bus Switch with Level-Shifter) RoHS* COMPLIANT DESCRIPTION FEATURES

DG2302. High-Speed, Low r ON, SPST Analog Switch. Vishay Siliconix. (1-Bit Bus Switch with Level-Shifter) RoHS* COMPLIANT DESCRIPTION FEATURES High-Speed, Low r ON, SPST Analog Switch (1-Bit Bus Switch with Level-Shifter) DG2302 DESCRIPTION The DG2302 is a high-speed, 1-bit, low power, TTLcompatible bus switch. Using sub-micron CMOS technology,

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Pmod peripheral modules are powered by the host via the interface s power and ground pins.

Pmod peripheral modules are powered by the host via the interface s power and ground pins. Digilent Pmod Interface Specification Revision: November 20, 2011 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Introduction The Digilent Pmod interface is used

More information

Table 1 Mixed-Signal Test course major topics. Mixed-signal Test and Measurement Concepts ENCT 351 What are Mixed-signal circuits

Table 1 Mixed-Signal Test course major topics. Mixed-signal Test and Measurement Concepts ENCT 351 What are Mixed-signal circuits Mixed-Signal Test Emphasis in Engineering Technology Rainer J. Fink, Jay Porter, Yong-Kyu Jung, B. Ben Zoghi Department of Engineering Technology and Industrial Distribution Texas A&M University College

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

LED board datasheet EB004-00-2

LED board datasheet EB004-00-2 LED board datasheet EB004-00-2 Contents 1 About this document... 2 2 General information... 3 3 Board layout... 4 4 Testing this product... 5 5 Circuit description... 6 Appendix 1 Circuit Diagram Copyright

More information

IEC 61850: Communication Networks and Systems in Substations

IEC 61850: Communication Networks and Systems in Substations IEC 61850: Communication Networks and Systems in Substations Sistemi e strumenti per l'automazione, A. Flammini, AA2011-2012 Background I: Power Grid Sistemi e strumenti per l'automazione A. Flammini,

More information

AND8229/D. An Introduction to Transient Voltage Suppression Devices APPLICATION NOTE

AND8229/D. An Introduction to Transient Voltage Suppression Devices APPLICATION NOTE An Introduction to Transient Voltage Suppression Devices Prepared by: Jim Lepkowski ON Semiconductor APPLICATION NOTE INTRODUCTION Transient Voltage Suppression (TVS) protection devices such as shielded

More information