Learning Digital Systems Design in VHDL by Example in a Junior Course

Size: px
Start display at page:

Download "Learning Digital Systems Design in VHDL by Example in a Junior Course"

Transcription

1 Learning Digital Systems Design in VHDL by Example in a Junior Course Darrin M. Hanna and Richard E. Haskell School of Engineering and Computer Science Oakland University dmhanna@oakland.edu haskell@oakland.edu Abstract Advancements in modern CAD tools enable students to design hardware, simulate their designs, synthesize them, and study common low-level issues such as timing, glitches, power consumption, and space requirements. Rather than emphasizing logic and gates to study issues in digital systems in a junior-level digital design course at Oakland University, the course presents the behavior of classical digital components, independent of target technology. Students learn VHDL and design tools by example through designing systems consisting of basic components, gradually increasing in complexity to larger digital systems covering most of the VHDL language. Using Xilinx ISE and Aldec Active-HDL, students describe, study, implement, and test basic gates, multiplexers, encoders and decoders, as well as more extensive designs including a VGA controller, memory-mapped video, a serial port transmit and receive, and special-purpose processors. A book called Learning By Example Using VHDL Advanced Digital Design has been written to cover this material. Instead of chapters this book contains over 40 worked examples from basic digital components to datapaths, control units, and a microcontroller. Useful tutorials and theoretical topics are included in appendices. This approach combines traditional topics in designing hardware with modern CAD tools to produce a unique learning experience for junior engineering students. Examples of the projects done in this course will be presented. Introduction At Oakland University a junior-level course, Digital Logic and Microprocessor Design, is taken by all computer engineering and electrical engineering students and some computer science majors. PowerPoint lectures and laboratory experiments including the latest in design and simulation software are used to present and practice digital design by example. Xilinx ISE and Aldec Active-HDL are used to design and test digital systems in VHDL including investigating power requirements using XPower, timing and glitches using pre- and post-implementation simulations, implementation using Floor Planner, and critical path including space/speed tradeoffs using synthesis information. Students also implement and test their designs on a Xilinx Spartan 3 FPGA using a prototyping board made by Digilent, Inc, which includes switches, buttons, LEDs, seven-segment displays, a VGA port, an RS-232 port, and a PS/2 port. During the course, students learn to use the software tools to design and test hardware by example while designing components starting with basic gates, multiplexers, encoders, decoders, to more extensive designs including a VGA controller, memory-mapped video, a serial port transmit and receive, and special-purpose processors that implement algorithms such as integer square root and Euclid s GCD. Both fundamental topics and tool tutorials are presented by example. After completing the 8 laboratory experiments, students work in groups of 3 or 4 to complete a design project during the last month of the term. These projects involve sophisticated special-purpose processors and components to produce impressive digital systems that use peripherals such as A/D and D/A converters, a USB port, sensors, and motors.

2 A book called Learning By Example Using VHDL Advanced Digital Design is being written to cover this material. Instead of chapters this book contains 49 worked examples ranging from basic digital components to datapaths, control units, and a microcontroller. Useful tutorials and theoretical topics are included in appendices. This approach combines traditional topics in designing hardware with modern CAD tools to produce a novel learning experience for junior engineering students. Section 1 describes the textbook Learning By Example Using VHDL. Section 2 describes the course materials and tools. Section 3 presents some examples of student projects. A conclusion is given in Section Learning By Example (LBE) Using VHDL Many digital design textbooks emphasize digital logic and logic reduction for implementing and studying digital systems using basic logic gates. Some include examples of implementing select digital components using a hardware description language such as VHDL or Verilog added to a later edition. On the other hand, there are textbooks for learning the VHDL language. These often take an approach traditional to learning a programming language emphasizing general syntax with some specific examples. The former typically do not include enough VHDL for students to effectively use the language and tools. The latter usually require significant knowledge of hardware as a prerequisite to learning VHDL. Further, it is rare to find a text that provides step-by-step directions for how to use a specific CAD tool for design entry, simulation, synthesis, implementation, power analysis, timing analyses, and other functions. This leaves much information for the instructor to coordinate and assemble for the students which can take considerable time and effort. We have begun publishing a series of books to address this need. The format of these books is different from that of standard texts. Instead of chapters these books contain a large number of worked examples with tutorials and theory topics relegated to appendices. The table of contents of the book Learning By Example Using VHDL Advanced Digital Design 1 is shown in Table 1 Table 1 Table of Contents of Learning By Example Using VHDL Advanced Digital Design Introduction Digital Logic and VHDL Example 1 2-Input Gates: Logic Equations Example 2 Multiple-Input Gates Example 3 4-Input Gates: for Loop Example 4 2-to-1 Multiplexer: if Statement Example 5 4-to-1 Multiplexer: Module Instantiation Example 6 4-to-1 Multiplexer: case Statement Example 7 Quad 2-to-1 Multiplexer Example 8 Generic Multiplexer: Parameters Example 9 Multiplexer as a Universal Element Example 10 Glitches Example 11 7-Segment Decoder: case Statement Example 12 Top-level VHDL Designs Example 13 7-Segment Display: Spartan-3 Board Example 14 4-Bit Binary-to-BCD Converter: case Statement Example 15 Bit Binary-to-BCD Converter: for Loops Example 16 Gray Code Converters Example 17 Adder Example 18 Shifters Example 19 Multiplier

3 Table 1 (cont d) Table of Contents of Learning By Example Using VHDL Advanced Digital Design Example 20 Divider Example 21 Arithmetic Logic Unit (ALU): case Statement Example 22 Comparators Example 23 Encoders Example 24 Priority Encoders Example 25 3-to-8 Decoder Example 26 Edge-Triggered D Flip-Flops Example 27 D Flip-Flops in VHDL Example 28 Divide-by-2 Counter Example 29 JK and T Flip-Flops Example 30 Registers Example 31 Shift Registers Example 32 Ring Counter Example 33 Counters Example 34 Arbitrary Waveform Example 35 Pulse-Width Modulation (PWM) Example 36 Finite State Machines Example 37 Datapaths Example 38 Control Units Example 39 VGA Controller Example 40 Memory: ROM Example 41 Memory: On-chip RAM, Distributed and Block Memory Example 42 Memory: External RAM Example 43 Asynchronous Serial Communications: Transmit Example 44 Asynchronous Serial Communications: Receive Example 45 PS/2 Controller Keyboard Example 46 PS/2 Controller Mouse Example 47 Test Benches using a Liquid Crystal Display Controller Example 48 Power Consumption Example 49 Combinational and Sequential Multiplication: Speed/Space Tradeoff Appendix A Aldec Active-HDL Tutorial Part 1 Appendix B Aldec Active-HDL Tutorial Part 2 Appendix C Number Systems Appendix D Basic Logic Gates and De Morgan s Theorem Appendix E Implementing Digital Circuits Appendix F Basic Digital Design Appendix G Boolean Algebra Appendix H Karnaugh Maps Appendix I Adders Appendix J Latches and Flip-Flops Appendix K State Machines Appendix L VHDL Quick Reference Guide The text begins with an extremely basic example of implementing basic gates. For students who wish to learn or review digital logic basics and prerequisites, Appendix C through K contain tutorials for various topics. Appendix A contains a step-by-step tutorial for completing the first example using Aldec Active-HDL with Xilinx Web Pack installed for synthesis and implementation. This tutorial illustrates completing the exercise using explicit screen shots for each step, easy for anyone to follow to learn how to use the tools for design entry, functional simulation, synthesis, implementation, and downloading the design to the FPGA. Appendix L contains a VHDL quick reference guide.

4 The examples become more complex as the student completes earlier examples. It is not necessary to complete all of the examples; examples do not require skills from all previous examples. In some cases, a single hardware component is used in multiple examples to illustrate different ways to do things in VHDL. For example, examples 4 through 9 all use a multiplexer to illustrate different VHDL constructs and digital topics. Example 4 uses an if-statement, Example 5 teaches instantiating modules, Example 6 uses a case statement, Example 7 demonstrates a quad 2-to-1 multiplexer as opposed to the 4-to-1 multiplexers used in the other examples, Example 8 introduces a generic multiplexer using parameters, and finally Example 9 presents the multiplexer as a universal element. Later examples emphasize more sophisticated digital designs including special-purpose processors, serial communication, a VGA controller, a memory controller, and more. In addition to more sophisticated designs are the more advanced digital issues including measuring power consumption and battery life, post-implementation timing and critical paths, and creating and using test benches. This mixture of digital logic, digital design, and VHDL is valuable for both advancing knowledge in digital logic and design as well as providing practice in using modern design tools that are used in industry for designing chips. This book contains teacher s resources including solutions to the examples and PowerPoint slides for lectures. Other Learning By Example books include one for learning basic digital design using Verilog 2 and one for learning to program microcontrollers using C 3,4. 2. Course Materials and Tools A 4-credit junior-level course in digital design is offered at Oakland University using this textbook. Students have taken, as a prerequisite, an introductory course in electrical and computer engineering where approximately five weeks are devoted to numbers systems and digital logic. In the junior-level digital design course students attend two lectures each week plus a 3-hour laboratory session where a lab instructor is available to help students and check their assignments. PowerPoint slides from the LBE book are used in the lectures. Each assigned lab is comprised of one or more examples. Typically, there are eight labs. Aldec s Active-HDL is used for simulation and the design flow. Xilinx ISE is installed for synthesis and implementation, accessed from the main design flow menu in Active-HDL. Each student is required to purchase a development board so that they can explore the tools and digital design on their own. Xilinx Web Pack is offered free for students to download. Using a virtual private connection, students can connect to the School s license server to obtain full access to Active- HDL. This makes it convenient for students to setup the digital design environment at home or on a laptop, often increasing the time that they spend with the tools and labs as opposed to only offering a laboratory environment on campus. At Oakland, we have used Digilent s FPGA development boards for this course. In particular we are currently using Digilent s Spartan 3 Development Board ranging from a Spartan to 1000 (approximately 200,000 to 1,000,000 equivalent gate capacity, respectively). This development board is JTAG programmable and contains: 8 slide switches, 4 pushbuttons, 8 LEDs, and 4-digit seven-segment display, 1MByte fast asynchronous RAM on board, Serial port, VGA port, and PS/2 mouse/keyboard port, and three 40-pin expansion connectors and three high-current voltage regulators (3.3V, 2.5V, and 1.2V).

5 After completing the eight labs listed in Table 2, the remaining three to four weeks are spent on a team design project. For the design project, students are put into groups of three or four based on a list of preferred group members. Each group decides what their project will do and after receiving instructor approval and discussion, development commences. To implement their design, students can use a combination of digital components that they have developed throughout the course from the LBE examples and a state machine and datapath specific to their project. Each group gives a fifteen minute presentation and submits a written report and project poster as their final deliverables for the course. They are also required to include a group picture in the presentation, poster, and report, and a video of their working demonstration in their presentation. This makes it easy to identify students who have completed projects years later and show their demonstrations without actually setting them up and downloading them. Students receive individual grades based on mandatory peer evaluations. Table 2 Eight lab assignments leading to the project 1. Multiplexers -- Simulation and Synthesis Using Aldec Active-HDL Priority Encoders and Seven-Segment Decoder 3. Comparators and Using the FPGA Editor 4. Binary to BCD Converter and the Seven-Segment Displays 5. Datapaths and Controllers: Integer Square Root 6. VGA Controller 7. UART and RAM 8. Combinational and Sequential Multiplication Space vs. Speed Trade-off 3. Examples of Student Projects We have found that most student projects resulting from this course are of impressive quality and complexity. Table 3 shows a list of selected student projects. Table 3 Some examples of student projects A. Interactive Sudoku game B. Wheel of Fortune C. Blackjack D. Digital clock using a touch screen monitor E. Mastermind F. Memory G. Pong H. A typing tutor I. FPGA Mario J. Catch em Sudoku, also known as Number Place, is a logic-based placement puzzle. The objective is to fill the grid so that every column, every row and every 3 3 box contains the digits 1 to 9. The puzzle setter provides a partially completed grid so that there is only one solution. This was implemented on an FPGA. The game board is displayed on a VGA monitor. Using the VGA

6 controller developed in Example 39, assigned as one of their lab assignments, some students developed their own font. The cursor is moved using the arrow keys. The player presses a number key when the cursor is located over the desired cell. When the last cell is full, if all the answers are correct, the numbers the user input turn green and the clock stops. The object is to beat the clock, or have the best time. Figure 1 shows screenshots of this Sudoku implementation. (Player loses) (Player wins) Figure 1: Interactive Sudoku on an FPGA Using the keyboard, players enter the phrase and set the wheel spinning on Wheel of Fortune. The wheel spins on the VGA screen and stop at a random dollar amount. Using the keyboard again, players select a letter and the game reveals where that letter appears in the phrase and awards points accordingly or reports that the letter does not appear in the phrase. Figure 2 shows a block diagram of the data path for keydata keyboard keyflg VGA_adr gameover Vga_adr ScoreCtrl Scoreboard intro store and guess turncontrol turn nxtpos Wheelposition spin winner Num2asc Wheelclock poscnt row Fnt_data wheelclk vgasel 255 gendata Figure 2: A block diagram of the components in Wheel of Fortune phrasedata

7 the Wheel of Fortune component. This component is integrated with a VGA controller and control unit designed by the group as shown in Figure 3. clk clr gendata gendata clk clr hs phasedata phasedata vs kc kd wheelclk a turn wheelclk aturn red green vga_adr plno vga_adr plno blue keydata keyflag gover next_turn spin nextpos row_out pos_cnt vgasel row_out pos_cnt vgasel gover screen keydata keyflg gover next_turn spin nextpos screen clk clr Figure 3: Wheel of fortune integrated with keyboard input, a VGA controller, and a control unit A shortened version of Blackjack was implemented using the basic rule that the hand that is highest, but less than or equal to 21 wins. This version of blackjack includes 2 players and a computer player. This consists of a graphics engine, card randomizer, and fonts for the suits and numbers/letters on the cards. Players select whether to stand or hit using buttons on the development board. Extreme Memory supports custom card faces and patterns for card backs using serial communication to transmit these items to the game. Using the keyboard arrow keys, players can move a selection box from frame to frame and select a card. The next step is to remember where the match is and select that card next in this classic game of memory. Figure 4 shows screenshots of extreme memory. Figures 5 and 6 show a block diagram of the data path and a state diagram of the high-level state machine. Custom card faces and backs Splash Screen Ready to Play Winning Marquee Figure 4: Screenshots of Extreme Memory

8 Figure 5: Block diagram of the data path for Extreme Memory Any time the escape key is pressed, all states return to start-state and clear. start keyout=x"0d" keychecks setupgo key Out=X"00" mov eright key Out=X"19" key Out=X"00" mov elef t keyout=x"1a" key Out=X"00" key Out=X"17" moveup key Out=X"00" setdn='0' randomset setdn='1' display flip keycheckf key Out=X"18" key Out=X"00" movedown mcount = "1000" check "not a match" unf lip win "match f ound" keycheckd key Out=X"00" Figure 6: State diagram for the high-level state machine controlling Extreme Memory

9 The FPGA Mario game consists of three levels of graphics: the background, the player, and the good/bad object to catch/avoid. Using a standard NES controller made by Nintendo Entertainment Systems, players can move the Mario player from left to right to catch or avoid the falling object. As the game progresses, the objects fall more quickly. Points are awarded for catching good objects and deducted for catching bad objects. Figure 7 shows a screenshot of the FPGA Mario Game, the NES controller, and the images. The FPGA Mario group also created a software FPGA Image Utility using Microsoft Visual Basic.NET to open an image and generate a COE file containing data encoding the image for an IP core memory. This utility is shown in Figure 9. Figure 8 shows a screenshot of the Ping Pong game using a potentiometer and A-to-D converter for playing against the computer. The computer anticipates ball movement and the ball speeds up as the game progresses. Figure 8 also shows the ball/angle scheme where students mapped the number of units to the right/left/up/down the ball should move to achieve a particular angle. NES Controller Mario Sprites (16 x 32) Good and Bad Sprites (16 x 16) Screenshot Background Tiles Graphics Components Figure 7: The FPGA Mario Game

10 Potentiometer Paddle Screenshot Ball Angle Scheme Figure 8: Ping Pong Game

11 Figure 9: The FPGA Image Utility created by the FPGA Mario team The game of Catch em consisted of a football goal post displayed on a VGA monitor that moves left and right using the arrow keys on a PS/2 keyboard. The object of the game is to move the goal post to catch the football that falls from the top of the screen. The football falls faster as the game progresses and points are awarded for catching the football. Figure 10 shows this game. Figure 10: Catch em Screenshot

12 4. Conclusions Using the LBE approach, students can refer to appendices for basic digital logic material in a junior-level course of digital design. Students each purchase a development board and setup the design tools at home or on their laptop. Lectures teach topics in digital logic, digital design, and VHDL according to examples selected by the instructor. The students are assigned eight labs to complete which are comprised of one or more examples. After completing these labs, a group of students completes a design project. We have found that students are well-prepared for designing digital systems having designed and implemented a sophisticated project of their own in only three weeks. This approach has produced better, more sophisticated projects than other, more traditional approaches to teaching digital logic with VHDL. 5. References 1. Richard E. Haskell and Darrin M. Hanna, Learning By Example Using VHDL Advanced Digital Design, LBE Books, Rochester, MI, Richard E. Haskell, Learning By Example Using Verilog Basic Digital Design, LBE Books, Rochester, MI, Richard E. Haskell, Learning By Example Using C Programming the HCS12 Microcontroller Using CodeWarrior, LBE Books, Rochester, MI, Richard E. Haskell, Darrin M. Hanna, and Michael Latcha, Learning Microcontroller Programming in C by Example in a Sophomore Core Course, ASEE North Central Section Conference, Mar , 2007, Charleston, WV.

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples

Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Introduction to Digital Design Using Digilent FPGA Boards Block Diagram / Verilog Examples Richard E. Haskell Darrin M. Hanna Oakland University, Rochester, Michigan LBE Books Rochester Hills, MI Copyright

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

EE360: Digital Design I Course Syllabus

EE360: Digital Design I Course Syllabus : Course Syllabus Dr. Mohammad H. Awedh Fall 2008 Course Description This course introduces students to the basic concepts of digital systems, including analysis and design. Both combinational and sequential

More information

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Session: 2220 Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Adam S. El-Mansouri, Herbert L. Hess, Kevin M. Buck, Timothy Ewers Microelectronics

More information

A Comparison of Student Learning in an Introductory Logic Circuits Course: Traditional Face-to-Face vs. Fully Online

A Comparison of Student Learning in an Introductory Logic Circuits Course: Traditional Face-to-Face vs. Fully Online A Comparison of Student Learning in an Introductory Logic Circuits Course: Traditional Face-to-Face vs. Fully Online Dr. Brock J. LaMeres Assistant Professor Electrical & Computer Engineering Dept Montana

More information

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Fall 2005 Instructor Texts University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Lab: Section 1: OSS LL14 Tuesday

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Digital Systems. Syllabus 8/18/2010 1

Digital Systems. Syllabus 8/18/2010 1 Digital Systems Syllabus 1 Course Description: This course covers the design and implementation of digital systems. Topics include: combinational and sequential digital circuits, minimization methods,

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

A Practical Approach to Education of Embedded Systems Engineering

A Practical Approach to Education of Embedded Systems Engineering A Practical Approach to Education of Embedded Systems Engineering Özgür Yürür Department of Electrical Engineering University of South Florida Tampa, Florida, 33620 oyurur@mail.usf.edu Wilfrido Moreno

More information

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts)

Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Dr. Greg Tumbush, gtumbush@uccs.edu Lab #5: Design Example: Keypad Scanner and Encoder - Part 1 (120 pts) Objective The objective of lab assignments 5 through 9 are to systematically design and implement

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE

INTRODUCTION TO DIGITAL SYSTEMS. IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE INTRODUCTION TO DIGITAL SYSTEMS 1 DESCRIPTION AND DESIGN OF DIGITAL SYSTEMS FORMAL BASIS: SWITCHING ALGEBRA IMPLEMENTATION: MODULES (ICs) AND NETWORKS IMPLEMENTATION OF ALGORITHMS IN HARDWARE COURSE EMPHASIS:

More information

A First Course in Digital Design Using VHDL and Programmable Logic

A First Course in Digital Design Using VHDL and Programmable Logic A First Course in Digital Design Using VHDL and Programmable Logic Shawki Areibi Abstract Present industry practice has created a high demand for systems designers with knowledge and experience in using

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Efficient Teaching of Digital Design with Automated Assessment and Feedback

Efficient Teaching of Digital Design with Automated Assessment and Feedback Efficient Teaching of Digital Design with Automated Assessment and Feedback 1 Paul W. Nutter, Member, IEEE, 2 Vasilis F. Pavlidis, Member, IEEE, and 2 Jeffrey Pepper 1 Nano Engineering and Storage Technology

More information

Academic year: 2015/2016 Code: IES-1-307-s ECTS credits: 6. Field of study: Electronics and Telecommunications Specialty: -

Academic year: 2015/2016 Code: IES-1-307-s ECTS credits: 6. Field of study: Electronics and Telecommunications Specialty: - Module name: Digital Electronics and Programmable Devices Academic year: 2015/2016 Code: IES-1-307-s ECTS credits: 6 Faculty of: Computer Science, Electronics and Telecommunications Field of study: Electronics

More information

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng

Digital Logic Design. Basics Combinational Circuits Sequential Circuits. Pu-Jen Cheng Digital Logic Design Basics Combinational Circuits Sequential Circuits Pu-Jen Cheng Adapted from the slides prepared by S. Dandamudi for the book, Fundamentals of Computer Organization and Design. Introduction

More information

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Javier García-Zubía (IEEE Senior Member), Ignacio Angulo, Luis Rodríguez-Gil Faculty of Engineering University of

More information

ELEC2141 DIGITAL CIRCUIT DESIGN

ELEC2141 DIGITAL CIRCUIT DESIGN ELEC2141 DIGITAL CIRCUIT DESIGN Course Outline Semester 1, 2015 Course Staff Course Convener: Tutors: Dr. Aron Michael, Room 305, a.michael@unsw.edu.au Dr. Aron Michael, Room 305, a.michael@unsw.edu.au

More information

The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization

The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization The WIMP51: A Simple Processor and Visualization Tool to Introduce Undergraduates to Computer Organization David Sullins, Dr. Hardy Pottinger, Dr. Daryl Beetner University of Missouri Rolla Session I.

More information

SECTION C [short essay] [Not to exceed 120 words, Answer any SIX questions. Each question carries FOUR marks] 6 x 4=24 marks

SECTION C [short essay] [Not to exceed 120 words, Answer any SIX questions. Each question carries FOUR marks] 6 x 4=24 marks UNIVERSITY OF KERALA First Degree Programme in Computer Applications Model Question Paper Semester I Course Code- CP 1121 Introduction to Computer Science TIME : 3 hrs Maximum Mark: 80 SECTION A [Very

More information

Ping Pong Game with Touch-screen. March 2012

Ping Pong Game with Touch-screen. March 2012 Ping Pong Game with Touch-screen March 2012 xz2266 Xiang Zhou hz2256 Hao Zheng rz2228 Ran Zheng yc2704 Younggyun Cho Abstract: This project is conducted using the Altera DE2 development board. We are aiming

More information

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia C8051F020 Utilization in an Embedded Digital Design Project Course Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia Abstract In this paper, the utilization of the C8051F020 in an

More information

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements )

Sequential Logic. (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential Logic (Materials taken from: Principles of Computer Hardware by Alan Clements ) Sequential vs. Combinational Circuits Combinatorial circuits: their outputs are computed entirely from their present

More information

Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008.

Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008. Philadelphia University Faculty of Information Technology Department of Computer Science ----- Semester, 2007/2008 Course Syllabus Course Title: Computer Logic Design Course Level: 1 Lecture Time: Course

More information

CHAPTER 3 Boolean Algebra and Digital Logic

CHAPTER 3 Boolean Algebra and Digital Logic CHAPTER 3 Boolean Algebra and Digital Logic 3.1 Introduction 121 3.2 Boolean Algebra 122 3.2.1 Boolean Expressions 123 3.2.2 Boolean Identities 124 3.2.3 Simplification of Boolean Expressions 126 3.2.4

More information

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit

Modeling Sequential Elements with Verilog. Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw. Sequential Circuit Modeling Sequential Elements with Verilog Prof. Chien-Nan Liu TEL: 03-4227151 ext:34534 Email: jimmy@ee.ncu.edu.tw 4-1 Sequential Circuit Outputs are functions of inputs and present states of storage elements

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

Digital Circuit Design Using Xilinx ISE Tools

Digital Circuit Design Using Xilinx ISE Tools Digital Circuit Design Using Xilinx ISE Tools Contents 1. Introduction... 1 2. Programmable Logic Device: FPGA... 2 3. Creating a New Project... 2 4. Synthesis and Implementation of the Design... 11 5.

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Module 3: Floyd, Digital Fundamental

Module 3: Floyd, Digital Fundamental Module 3: Lecturer : Yongsheng Gao Room : Tech - 3.25 Email : yongsheng.gao@griffith.edu.au Structure : 6 lectures 1 Tutorial Assessment: 1 Laboratory (5%) 1 Test (20%) Textbook : Floyd, Digital Fundamental

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog

ECE232: Hardware Organization and Design. Part 3: Verilog Tutorial. http://www.ecs.umass.edu/ece/ece232/ Basic Verilog ECE232: Hardware Organization and Design Part 3: Verilog Tutorial http://www.ecs.umass.edu/ece/ece232/ Basic Verilog module ();

More information

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters

LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB #4 Sequential Logic, Latches, Flip-Flops, Shift Registers, and Counters LAB OBJECTIVES 1. Introduction to latches and the D type flip-flop 2. Use of actual flip-flops to help you understand sequential

More information

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2016

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2016 CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2016 1. Professor : Haldun Hadimioglu SYLLABUS Office : 10.009 2MTC Tel : (646) 997-3101 Fax : (646) 997-3609 haldun@nyu.edu http://cse.poly.edu/haldun

More information

Let s put together a Manual Processor

Let s put together a Manual Processor Lecture 14 Let s put together a Manual Processor Hardware Lecture 14 Slide 1 The processor Inside every computer there is at least one processor which can take an instruction, some operands and produce

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Memory Elements. Combinational logic cannot remember

Memory Elements. Combinational logic cannot remember Memory Elements Combinational logic cannot remember Output logic values are function of inputs only Feedback is needed to be able to remember a logic value Memory elements are needed in most digital logic

More information

Development of a Research-oriented Wireless System for Human Performance Monitoring

Development of a Research-oriented Wireless System for Human Performance Monitoring Development of a Research-oriented Wireless System for Human Performance Monitoring by Jonathan Hill ECE Dept., Univ. of Hartford jmhill@hartford.edu Majdi Atallah ECE Dept., Univ. of Hartford atallah@hartford.edu

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

EE361: Digital Computer Organization Course Syllabus

EE361: Digital Computer Organization Course Syllabus EE361: Digital Computer Organization Course Syllabus Dr. Mohammad H. Awedh Spring 2014 Course Objectives Simply, a computer is a set of components (Processor, Memory and Storage, Input/Output Devices)

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

COURSE SYLLABUS. PRE-REQUISITES: Take CETT-1303(41052); Minimum grade C, CR.

COURSE SYLLABUS. PRE-REQUISITES: Take CETT-1303(41052); Minimum grade C, CR. COURSE SYLLABUS COURSE NUMBER AND TITLE: CETT 1325- Digital Fundamentals COURSE (CATALOG) DESCRIPTION An entry level course in digital electronics covering number systems, binary mathematics, digital codes,

More information

Online Development of Digital Logic Design Course

Online Development of Digital Logic Design Course Online Development of Digital Logic Design Course M. Mohandes, M. Dawoud, S. Al Amoudi, A. Abul Hussain Electrical Engineering Department & Deanship of Academic Development King Fahd University of Petroleum

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

Parts of a Computer. Preparation. Objectives. Standards. Materials. 1 1999 Micron Technology Foundation, Inc. All Rights Reserved

Parts of a Computer. Preparation. Objectives. Standards. Materials. 1 1999 Micron Technology Foundation, Inc. All Rights Reserved Parts of a Computer Preparation Grade Level: 4-9 Group Size: 20-30 Time: 75-90 Minutes Presenters: 1-3 Objectives This lesson will enable students to: Identify parts of a computer Categorize parts of a

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

Gray Code Generator and Decoder by Carsten Kristiansen Napier University. November 2004

Gray Code Generator and Decoder by Carsten Kristiansen Napier University. November 2004 Gray Code Generator and Decoder by Carsten Kristiansen Napier University November 2004 Title page Author: Carsten Kristiansen. Napier No: 04007712. Assignment title: Design of a Gray Code Generator and

More information

Fall 2009. Lecture 1. Operating Systems: Configuration & Use CIS345. Introduction to Operating Systems. Mostafa Z. Ali. mzali@just.edu.

Fall 2009. Lecture 1. Operating Systems: Configuration & Use CIS345. Introduction to Operating Systems. Mostafa Z. Ali. mzali@just.edu. Fall 2009 Lecture 1 Operating Systems: Configuration & Use CIS345 Introduction to Operating Systems Mostafa Z. Ali mzali@just.edu.jo 1-1 Chapter 1 Introduction to Operating Systems An Overview of Microcomputers

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach Mitchell A. Thornton 1 and Aaron S. Collins 2 Abstract A second undergraduate course in digital logic design

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012

Latches, the D Flip-Flop & Counter Design. ECE 152A Winter 2012 Latches, the D Flip-Flop & Counter Design ECE 52A Winter 22 Reading Assignment Brown and Vranesic 7 Flip-Flops, Registers, Counters and a Simple Processor 7. Basic Latch 7.2 Gated SR Latch 7.2. Gated SR

More information

1 PERSONAL COMPUTERS

1 PERSONAL COMPUTERS PERSONAL COMPUTERS 1 2 Personal computer a desktop computer a laptop a tablet PC or a handheld PC Software applications for personal computers include word processing spreadsheets databases web browsers

More information

Chapter 6. Inside the System Unit. What You Will Learn... Computers Are Your Future. What You Will Learn... Describing Hardware Performance

Chapter 6. Inside the System Unit. What You Will Learn... Computers Are Your Future. What You Will Learn... Describing Hardware Performance What You Will Learn... Computers Are Your Future Chapter 6 Understand how computers represent data Understand the measurements used to describe data transfer rates and data storage capacity List the components

More information

AC 2007-2027: A PROCESSOR DESIGN PROJECT FOR A FIRST COURSE IN COMPUTER ORGANIZATION

AC 2007-2027: A PROCESSOR DESIGN PROJECT FOR A FIRST COURSE IN COMPUTER ORGANIZATION AC 2007-2027: A PROCESSOR DESIGN PROJECT FOR A FIRST COURSE IN COMPUTER ORGANIZATION Michael Black, American University Manoj Franklin, University of Maryland-College Park American Society for Engineering

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

Flip-Flops, Registers, Counters, and a Simple Processor

Flip-Flops, Registers, Counters, and a Simple Processor June 8, 22 5:56 vra235_ch7 Sheet number Page number 349 black chapter 7 Flip-Flops, Registers, Counters, and a Simple Processor 7. Ng f3, h7 h6 349 June 8, 22 5:56 vra235_ch7 Sheet number 2 Page number

More information

Lecture 2: Computer Hardware and Ports. y.alharbi@sau.edu.sa http://faculty.sau.edu.sa/y.alharbi/en

Lecture 2: Computer Hardware and Ports. y.alharbi@sau.edu.sa http://faculty.sau.edu.sa/y.alharbi/en BMTS 242: Computer and Systems Lecture 2: Computer Hardware and Ports Yousef Alharbi Email Website y.alharbi@sau.edu.sa http://faculty.sau.edu.sa/y.alharbi/en The System Unit McGraw-Hill Copyright 2011

More information

Lecture 8: Synchronous Digital Systems

Lecture 8: Synchronous Digital Systems Lecture 8: Synchronous Digital Systems The distinguishing feature of a synchronous digital system is that the circuit only changes in response to a system clock. For example, consider the edge triggered

More information

The Basics of Robot Mazes Teacher Notes

The Basics of Robot Mazes Teacher Notes The Basics of Robot Mazes Teacher Notes Why do robots solve Mazes? A maze is a simple environment with simple rules. Solving it is a task that beginners can do successfully while learning the essentials

More information

Tutorial for MPLAB Starter Kit for PIC18F

Tutorial for MPLAB Starter Kit for PIC18F Tutorial for MPLAB Starter Kit for PIC18F 2006 Microchip Technology Incorporated. All Rights Reserved. WebSeminar Title Slide 1 Welcome to the tutorial for the MPLAB Starter Kit for PIC18F. My name is

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc.

SKP16C62P Tutorial 1 Software Development Process using HEW. Renesas Technology America Inc. SKP16C62P Tutorial 1 Software Development Process using HEW Renesas Technology America Inc. 1 Overview The following tutorial is a brief introduction on how to develop and debug programs using HEW (Highperformance

More information

Active Learning in the Introduction to Digital Logic Design Laboratory Course

Active Learning in the Introduction to Digital Logic Design Laboratory Course Active Learning in the Introduction to Digital Logic Design Laboratory Course Jing Pang Department of Electrical and Electronic Engineering, Computer Engineering Program, California State University, Sacramento,

More information

Comparing Student Learning in a Required Electrical Engineering Undergraduate Course: Traditional Face-to-Face vs. Online

Comparing Student Learning in a Required Electrical Engineering Undergraduate Course: Traditional Face-to-Face vs. Online Comparing Student Learning in a Required Electrical Engineering Undergraduate Course: Traditional Face-to-Face vs. Online Carolyn Plumb and Brock LaMeres Montana State University, Bozeman, Montana, U.S.A.,

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

ENGI E1112 Departmental Project Report: Computer Science/Computer Engineering

ENGI E1112 Departmental Project Report: Computer Science/Computer Engineering ENGI E1112 Departmental Project Report: Computer Science/Computer Engineering Daniel Estrada Taylor, Dev Harrington, Sekou Harris December 2012 Abstract This document is the final report for ENGI E1112,

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Microtronics technologies Mobile: 99707 90092

Microtronics technologies Mobile: 99707 90092 For more Project details visit: http://www.projectsof8051.com/rfid-based-attendance-management-system/ Code Project Title 1500 RFid Based Attendance System Synopsis for RFid Based Attendance System 1.

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed, 1:25-2:45pm, WEB L105 Office

More information

Innovations in Remote Laboratories & Simulation Software for Online and On-Site Engineering Students

Innovations in Remote Laboratories & Simulation Software for Online and On-Site Engineering Students Paper ID #6793 Innovations in Remote Laboratories & Simulation Software for Online and On-Site Engineering Students Dr. Jodi Reeves, National University Dr. Jodi Reeves is an associate professor in the

More information

Mallard TM : Asynchronous Learning in Two Engineering Courses

Mallard TM : Asynchronous Learning in Two Engineering Courses Mallard TM : Asynchronous Learning in Two Engineering Courses Michael L. Swafford, Charles R. Graham, Donna J. Brown, Timothy N. Trick University of Illinois at Urbana-Champaign Abstract Mallard TM is

More information

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14

Jianjian Song LogicWorks 4 Tutorials (5/15/03) Page 1 of 14 LogicWorks 4 Tutorials Jianjian Song Department of Electrical and Computer Engineering Rose-Hulman Institute of Technology March 23 Table of Contents LogicWorks 4 Installation and update...2 2 Tutorial

More information

isppac-powr1220at8 I 2 C Hardware Verification Utility User s Guide

isppac-powr1220at8 I 2 C Hardware Verification Utility User s Guide November 2005 Introduction Application Note AN6067 The isppac -POWR1220AT8 device from Lattice is a full-featured second-generation Power Manager chip. As part of its feature set, this device supports

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

Physics 226 FPGA Lab #1 SP Wakely. Terasic DE0 Board. Getting Started

Physics 226 FPGA Lab #1 SP Wakely. Terasic DE0 Board. Getting Started Physics 226 FPGA Lab #1 SP Wakely I Terasic DE0 Board The DE0 Development and Education board is designed in a compact size with all the essential tools for novice users to gain knowledge in areas of digital

More information

8051 hardware summary

8051 hardware summary 8051 hardware summary 8051 block diagram 8051 pinouts + 5V ports port 0 port 1 port 2 port 3 : dual-purpose (general-purpose, external memory address and data) : dedicated (interfacing to external devices)

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

CSE2102 Digital Design II - Topics CSE2102 - Digital Design II

CSE2102 Digital Design II - Topics CSE2102 - Digital Design II CSE2102 Digital Design II - Topics CSE2102 - Digital Design II 6 - Microprocessor Interfacing - Memory and Peripheral Dr. Tim Ferguson, Monash University. AUSTRALIA. Tel: +61-3-99053227 FAX: +61-3-99053574

More information

Enabling Creative Thinking in Digital Design Education

Enabling Creative Thinking in Digital Design Education Enabling Creative Thinking in Digital Design Education MIHAELA ELENA RADU 1, CLINT COLE 2 Rose Hulman Institute of Technology, Department of Electrical and Computer Engineering, Terre Haute, IN, 47803,

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE

LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE LEVERAGING HARDWARE DESCRIPTION LANUGAGES AND SPIRAL LEARNING IN AN INTRODUCTORY COMPUTER ARCHITECTURE COURSE John H. Robinson and Ganesh R. Baliga Computer Science Department Rowan University, Glassboro,

More information

Glitch Free Frequency Shifting Simplifies Timing Design in Consumer Applications

Glitch Free Frequency Shifting Simplifies Timing Design in Consumer Applications Glitch Free Frequency Shifting Simplifies Timing Design in Consumer Applications System designers face significant design challenges in developing solutions to meet increasingly stringent performance and

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

EC313 - VHDL State Machine Example

EC313 - VHDL State Machine Example EC313 - VHDL State Machine Example One of the best ways to learn how to code is seeing a working example. Below is an example of a Roulette Table Wheel. Essentially Roulette is a game that selects a random

More information

CONTENTS PREFACE 1 INTRODUCTION 1 2 NUMBER SYSTEMS AND CODES 25. vii

CONTENTS PREFACE 1 INTRODUCTION 1 2 NUMBER SYSTEMS AND CODES 25. vii 2006 Pearson Education, Inc., Upper Saddle River, NJ. All rights reserved. This material is CONTENTS PREFACE xv 1 INTRODUCTION 1 1.1 About Digital Design 1 1.2 Analog versus Digital 3 1.3 Digital Devices

More information

Course Requirements & Evaluation Methods

Course Requirements & Evaluation Methods Course Title: Logic Circuits Course Prefix: ELEG Course No.: 3063 Sections: 01 & 02 Department of Electrical and Computer Engineering College of Engineering Instructor Name: Justin Foreman Office Location:

More information

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B.

Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology. Electronics & Communication Engineering. B. Guru Ghasidas Vishwavidyalaya, Bilaspur (C.G.) Institute of Technology Electronics & Communication Engineering B.Tech III Semester 1. Electronic Devices Laboratory 2. Digital Logic Circuit Laboratory 3.

More information