High-Resolution X-ray Diffraction of Epitaxial Thin-Films and Patterned Nanostructures. Matthew Wormington

Similar documents
X-ray diffraction techniques for thin films

X-ray thin-film measurement techniques

Physics 441/2: Transmission Electron Microscope

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

Laue lens for Nuclear Medicine

Advanced VLSI Design CMOS Processing Technology

Introduction to X-Ray Powder Diffraction Data Analysis

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm?

Powder diffraction and synchrotron radiation

Applications of New, High Intensity X-Ray Optics - Normal and thin film diffraction using a parabolic, multilayer mirror

Vincent FAVRE-NICOLIN Univ. Grenoble Alpes & CEA Grenoble/INAC/SP2M XDISPE (ANR JCJC SIMI )

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

X-Ray Diffraction HOW IT WORKS WHAT IT CAN AND WHAT IT CANNOT TELL US. Hanno zur Loye

Structure Factors

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Raman spectroscopy Lecture

Direct structure determination of systems with two-dimensional periodicity

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

Intel s Revolutionary 22 nm Transistor Technology

Chapter types of materials- amorphous, crystalline, and polycrystalline. 5. Same as #3 for the ceramic and diamond crystal structures.

Introduction to Powder X-Ray Diffraction History Basic Principles

Chapter 8. Low energy ion scattering study of Fe 4 N on Cu(100)

Spectroscopic Ellipsometry:

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

X-ray Diffraction and EBSD

Experiment: Crystal Structure Analysis in Engineering Materials

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Glancing XRD and XRF for the Study of Texture Development in SmCo Based Films Sputtered Onto Silicon Substrates

Crystal Structure Determination I

X-ray analysis methods

Wir schaffen Wissen heute für morgen

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Defects Introduction. Bonding + Structure + Defects. Properties

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

h e l p s y o u C O N T R O L

Coating Thickness and Composition Analysis by Micro-EDXRF

Micro-Power Generation

Interference. Physics 102 Workshop #3. General Instructions

COMPARISON OF FOUR DATA ANALYSIS SOFTWARE FOR COMBINED X-RAY REFLECTIVITY AND GRAZING INCIDENCE X-RAY FLUORESCENCE MEASUREMENTS

How To Understand Light And Color

Introduction to microstructure

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Relevant Reading for this Lecture... Pages

DIFFRACTION AND INTERFERENCE

Acoustic GHz-Microscopy: Potential, Challenges and Applications

Overview of Optical Recording Technology- Current Status and Near Term Projections

WAVELENGTH OF LIGHT - DIFFRACTION GRATING

O6: The Diffraction Grating Spectrometer

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch)

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

DESIGN, FABRICATION AND ELETRICAL CHARACTERIZATION OF SOI FINFET TRANSISTORS

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

This is an author-deposited version published in: Handle ID:.

* This work is an official contribution of the National Institute of Standards and Technology and

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Sheet Resistance = R (L/W) = R N L

Electrical tests on PCB insulation materials and investigation of influence of solder fillets geometry on partial discharge

Fraunhofer Diffraction

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red

ORIENTATION CHARACTERISTICS OF THE MICROSTRUCTURE OF MATERIALS

MBA Lattice Upgrade: New Opportunities for In-situ High Energy (30 kev 90 kev) X-ray Structural Analyses

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Jorge E. Fernández Laboratory of Montecuccolino (DIENCA), Alma Mater Studiorum University of Bologna, via dei Colli, 16, Bologna, Italy

Chapter 7: Basics of X-ray Diffraction

PARALLEL BEAM METHODS IN POWDER DIFFRACTION AND TEXTURE IN THE LABORATORY.

Diffraction Course Series 2015

STRUCTURAL STUDIES OF MULTIFERROIC THIN FILMS

MEMS Processes from CMP

Material Deformations. Academic Resource Center

Ajit Kumar Patra (Autor) Crystal structure, anisotropy and spin reorientation transition of highly coercive, epitaxial Pr-Co films

Dr Marcin Adamiak marcin.adamiak.

GRID AND PRISM SPECTROMETERS

Results Overview Wafer Edge Film Removal using Laser

Applications of Infrared Multiple Angle Incidence Resolution Spectrometry

3D NAND Technology Implications to Enterprise Storage Applications

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

The Focused Ion Beam Scanning Electron Microscope: A tool for sample preparation, two and three dimensional imaging. Jacob R.

Data Storage and HAMR

Picosun World Forum, Espoo years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Silicon-On-Glass MEMS. Design. Handbook

Rigaku XRD-System Instruction Manual v4/19/03. The Krishnan Group/Wilcox 132, University of Washington

Light management for photovoltaics using surface nanostructures

Solid State Detectors = Semi-Conductor based Detectors

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

POWDER X-RAY DIFFRACTION: STRUCTURAL DETERMINATION OF ALKALI HALIDE SALTS

EXPERIMENT O-6. Michelson Interferometer. Abstract. References. Pre-Lab

III. Wet and Dry Etching

One example: Michelson interferometer

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

CT for the production floor: Recent developments in Hard- and Software for industrial CT Systems

LECTURE SUMMARY September 30th 2009

Bruker Stylus and 3D Microscope Systems Solutions for Semiconductor Applications

To meet the requirements of demanding new

Metrology of silicon photovoltaic cells using coherence correlation interferometry

MEMS mirror for low cost laser scanners. Ulrich Hofmann

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light

X-ray Diffraction (XRD)

Phonon Scattering and Thermal Conduction in Nanostructured Semiconductors

Transcription:

High-Resolution X-ray Diffraction of Epitaxial Thin-Films and Patterned Nanostructures Matthew Wormington

Acknowledgements Colleagues Paul Ryan & John Wall (JV UK) Kevin Matney & Peter Gin (JV US) Isaac Mazor, David Berman, Alex Krokhmal, Yuri Vinshtein & Alex Tokar (JV ILS) Collaborators PY Hung (SEMATECH) Manasa Medikonda & Alain Diebold (CNSE) Jody Fronheiser & Vimal Kamineni (GlobalFoundries) Benoit Lherron (IBM/ST) Evguenia Karapetrova (APS) Andreas Schulze, Hilde Tielens, Andriy Hikavyy, Roger Loo, Matty Caymax (IMEC) 2

Outline Introduction Background Principles of high-resolution X-ray diffraction (HRXRD) Instrumentation Diffraction geometries Measurements of epitaxial thin-films Reciprocal space and reciprocal space maps (RSMs) RSMs from epitaxial thin-films RSMs from patterned epitaxial nanostructures Synchrotron studies Conclusions 3

Jordan Valley overview Jordan Valley Semiconductors (JVS) develops and manufactures X-ray based in-line metrology and inspection solutions for the semiconductor industry Provide innovative solutions for a wide variety of materials, process and structure challenges Range of X-ray techniques including: XRF, XRR, (HR)XRD and XRDI Tools provide fully automated measurements, analysis and reporting and support semiconductor production and R&D activities worldwide 4

Jordan Valley overview (cont.) Private company Established 1982, HQ in Israel Global presence > 180 employees Manufacturing and demo sites (Israel & UK) Local sales & support offices in strategic locations (US, Taiwan, Korea, Singapore, Europe) 5

Silicon semiconductor metrology tools Tools: JVX7300 series Channels: XRF, XRR and HRXRD Applications: o 7300HR: SiGe & Si:C on bulk or (FD)SOI, various ALD films, HKMG stacks, silicides o 7300LSI: Ge and III/V on Si for sub-10 nm, HKMG, FinFETs, GaN-on-Si, MEMS o 7300F(R): Metal / magnetic films, WLP o 7300G: Ultra-thin films and 3D devices In-line tools for silicon semiconductor device manufacturers for process control of product wafers JVX7300LSI 6

What can HRXRD give us, who uses it and for what? High-resolution X-ray diffraction (HRXRD) provides a wealth of information about epitaxial materials Crystal lattice misfit/strain, tilt and defectivity/quality Composition and thickness of planar films Shape and lattice distortion in patterned structures It is first-principles (no calibration) and nondestructive characterization and metrology technique Does not require material/process dependent optical constants Accurate and precise with very few assumptions Has been used for 30+ years in the compound semiconductor industry for a wide range of materials (III-V, III-nitride, II-VI ) and devices (LEDs, lasers, CPV, detectors ) 7

What can HRXRD give us, who uses it and for what (cont.)? Introduced into the Si industry with strain engineering for sub-100 nm logic devices Epitaxial SiGe S/D stressors for PMOS mobility enhancement Also Si:C / Si:P S/D stressors for NMOS, but less widespread Used for R&D, CVD chamber qual., process diagnostics / ramp and in-line metrology Solid metrology pads less relevant / not available Transition from planar to 3D (FinFET) devices Novel channel materials, e.g. SiGe, Ge and III-V for sub 10 nm nodes Source: A. Steegen, Logic Scaling Beyond 10 nm, IMEC Technology Forum US (July 2013) 8

Principle of X-ray diffraction based stress/strain analysis Intensity Intensity (a) (b) Stress-free crystal (c) σ (d) 2 X-ray diffraction uses the crystal lattice as a strain gauge The relation between the lattice parameter and diffraction angle is defined by Bragg s law, 2d sin θ B = nλ Most sensitive stress/strain analysis method for semi. (ITRS 2011) 9

What do we mean by high-resolution? Epitaxial films and structures have a high degree of crystalline perfection The features (peaks and interference fringes) in the diffracted X-ray intensity from epilayer-substrate material systems are very closely spaced angular range of a few degrees at most High-resolution is needed to resolve these features d d = λ λ + θ tan θ B High-resolution usually means highly collimated and monochromatic X-ray beams and precise goniometry 10

High resolution XRD setups (a) X-ray tube Mirror Conditioning crystal Slits Slits Detector ω Sample 2θ Most common setup uses a parallel beam and point (0D) detector Source and detector angles scanned using a motorized goniometer Large (mm) and small (~50 um) spot configurations are available JV also developed an innovative small-spot, focused beam HRXRD approach for fast in-line measurements 11

Intensity (arb. units) Common Bragg diffraction geometries and anatomy of a HRXRD curve (a) (b) Symmetric geometry Asymmetric geometries 6 10 5 10 4 10 3 10 2 10 Fringes Layer peak Δω Substrate peak 1 10 Glancing incidence Glancing exit 10-2.0-1.5-1.0-0.5 0.0 0.5 1.0 ω (deg) Symmetric Bragg geometry is sensitive to lattice parameter perpendicular to the surface Asymmetric geometries are also sensitive to the lattice parameters both parallel and perpendicular to the surface 12

Example: Fully strained SiGe epilayer Measurement Simulation Symmetric 004 reflection from 22.5 nm epitaxial film of Si 1-x Ge x with x = 49% on a Si(001) substrate Composition / strain determined from measured lattice misfit Misfit normal to surface from layer peak position d/d = ω cot θ B Thickness from interference fringe period t = λ/(2 ω f cos θ B ) No dependence on uncertain materials parameters 13

Example: Fully strained Si:C epilayer Measurement Simulation Si:C and Si:P can be used as source/drain stressors for NMOS transistors Composition and thickness metrology possible using HRXRD due to large strain Metrology is challenging using SE because of very low concentrations Symmetric 004 reflection from a 101.1 nm epitaxial film of Si 1-x C x with incorporated x = 1.4% on a Si(001) substrate 14

More complex SiGe/Si examples NIST SRM2000 standard: 23.7nm Si/ 48.2nm Si0.84Ge0.16 on Si(001) Periodic superlattice structure: [8.2nm Si 0.9 Ge 0.1 / 22.4nm Si] 5 on a Si(001) substrate More complex stacks give rise to more complex interference effects Measured data can be automatically fit to dynamical X-ray diffraction theory by refining the parameters of a structural model 15

Lattice deformation in epitaxial thin-films If the lattice mismatch to the substrate and/or thickness is small, then an epilayer can be strained so that the in-plane lattice parameter is equal to that of the substrate (fully strained) Tetragonal distortion of the unit cell For large mismatch or thickness, it may become energetically favorable to relax Creation of dislocations and/or roughening more on this later Misfit dislocations 16

Comparison of HRXRD data from strained and relaxed SiGe epilayers Intensity (cps) Intensity (cps) Degradation of device performance and yield loss Relaxed material has about 50% less strain than a pseudomorphic layer Relaxed material will contain dislocations at the interface and in the layer - increased leakage? HRXRD provides a unique, automated solution for strain metrology and assessment of lattice defectivity 105 104 103 102 101 100 105 104 103 102 101 100 Fully strained layer t = 492 Å, x = 19.6% Interference fringes -3000-2500 -2000-1500 -1000-500 0 500 1000 Omega (sec) Partially relaxed layer t ~ 350 Å (XRR), x > 50% No interference fringes No Triangular interference layer fringes peak Triangular layer peak -7000-6000 -5000-4000 -3000-2000 -1000 0 1000 OMEGA-2THETA (arcsec) 17

Diffraction in reciprocal space - Ewald sphere and Laue condition (a) L [001] (b) k G k 0 Q H[100] Scattering vector Q = k k has magnitude Q = 2 sin θ 2π λ Reciprocal lattice vector G has magnitude G = 2π d HKL Laue condition Q = G is exactly equivalent to Bragg s law 18

Fast reciprocal space mapping - FastRSMs X-ray tube Mirror Conditioning crystal Slits Linear detector Sample Linear (1D) detector replaces analyzer crystal / slits and point (0D) detector and allows routine RSMs to be measured in the fab Simultaneously intensity acquisition over a large range of 2θ angles x10-100 faster than conventional approach (minutes not hours) Provides more information than available by single HRXRD curves Automated RSM analysis for epi. process development and control of thinfilms and patterned nanostructures 19

RSMs from fully strained epitaxial thin-films 37.6 nm Si 0.81 Ge 0.19 on Si(001) 004 113ge Si SiGe Layer peak position gives the lattice parameters a a = L L a a = H H Composition and relaxation can be obtained Peak in the asymmetric RSM is located at H = 1 indicating the layer is fully strained (a SiGe, = a Si ) Thickness fringes are visible in the L direction t 1/ L fringes 20

RSMs from relaxed epitaxial thin-films ~35 nm Si 0.33 Ge 0.67 (R = 70%) on Si(001) 004 224ge Si Substrate peak H SiGe r = 1 0 < r < 1 r = 0 Layer peak L H SiGe peak is shifted away from H=2 in the asymmetric 224ge map indicating relaxation (a SiGe, > a Si ) Peak is broadened due to dislocations, w(h)/h 1/ ρ 21

RSMs from strained thin-films on strain relaxed buffers (SRBs) Ge 0.89 Sn 0.11 / Ge on Si(001) 004 224ge Ge peak is shifted away Si Ge GeSn from H < 2 in the asymmetric 224ge map indicating relaxation (a Ge, > a Si ) GeSn peak is not shifted in H wrt to Ge peak (a GeSn, = a Si ) Composition and relaxation of each layer can be obtained 22

Patterned epitaxial nanostructures In blanket epitaxy you have simple biaxial stress Blanket pads are less relevant and / or no longer available In epitaxial nanostructures you have Micro-loading effects in selective growth Stress-state is far more complex, i.e. elastic relaxation of the epi and distortion of the substrate lattice 23

RSM from Si fins made using spacer double patterning (SDP) lithography (a) ( (a) GR order -2.5-2 -1 0 +1 +2-1.5-0.5 +0.5 +1.5 +2.5 (c) Thin-film 2α Si fins (b) Fins act as a diffraction grating, P 1/ H GTR = 42.2 ± 0.5 nm X-pattern is characteristic of trapezoidal features, α = 9 ± 1 Evidence of significant pitch walking error from SDP lithography Strong half-order GTR peaks (corresponds to 2 x pitch), P = 5 ± 0.5 nm 24

RSMs from epitaxial SiGe fins Symmetric (b) 004 reflection (a) (b) x (d) Planar x 3 x 1 x 2 (c) Thin-film (d) Planar SiGe fins Si Si fins SiGe fins SiGe H-spacing of the GTRs gives the pitch, P = 42 nm Components of the strain tensor can be determined from intensity envelopes by measuring asymmetric reflection at different azimuths 25

RSMs from epitaxial SiGe fins Asymmetric (b) 113ge reflection (a) (b) x (d) Planar x 3 x 1 x 2 (c) Thin-film (d) Planar SiGe fins Si Si fins SiGe fins SiGe SiGe in a uniaxial stress state, cf. biaxial stress state for thin-films Elastic relaxation perpendicular to the line direction Composition and thickness determined from fitting, x = 25%, t = 39.4 nm 26

RSMs from III-Vs deposited in narrow (60 nm) trenches etched into thick SiO 2 layer on Si 004 Si 115 GaAs InGaAs Interest as a high mobility channel materials in sub-10 nm nodes GaAs and InGaAs peaks are very broad due to the high density of threading dislocations, ρ~10 10 cm -2 despite the ART structures 27

Synchrotron facilities Orders of magnitude more brilliant than lab / fab X-ray sources Provide advanced measurement capabilities, but very offline Source: X-ray Data Booklet (http://xdb.lbl.gov/) Source: EPSIM 3D/JF Santarelli, Synchrotron Soleil, via Wikimedia Commons 28

Comparison of FastRSMs from SiGe fins measured at the APS and in the fab Increased dynamic range is valuable for model development / validation. Analysis of single nanostructures is also possible 29

Conclusions High-resolution XRD delivers valuable information on epitaxial thin-films and patterned nanostructures Materials include: SiGe, Si:C(P), Ge and III-Vs for current and future technology nodes Parameters include: strain tensor components, composition, thickness, pitch, pitch-walk, height and SWA as well as crystalline quality Complements techniques such as SE / scatterometry and SEM / TEM The latest generation of lab / fab tools can yield good quality data in minutes not several hours From patterned wafers Including reciprocal space mapping using linear detectors In-line X-ray metrology tools, like the JVX7300 series, enable advance materials and process development and provide novel solutions for production monitoring 30

Thank You! For more information contact us directly or via a local representative www.jvsemi.com