Optimizing Configuration and Application Mapping for MPSoC Architectures

Size: px
Start display at page:

Download "Optimizing Configuration and Application Mapping for MPSoC Architectures"

Transcription

1 Optimizing Configuration and Application Mapping for MPSoC Architectures École Polytechnique de Montréal, Canada Sebastien.Le-Beux@polymtl.ca 1

2 Multi-Processor Systems on Chip (MPSoC) Design Trends SoC Design two contradictory trends Rising platform development cost Reducing the product market window Directions to tackle these challenges Exploit domain-specific MPSoC reusable platforms Several interconnected processors Networks-on-Chip Proc 1 Proc 2 Proc n Network-on-Chip 2

3 Multi-Processor SoC (MPSoC) Design Trends System-on-Chip (SoC) Higher performance More Moore System-in-Package (SiP) Extended functionalities More Than Moore 3D Integration Combining SoC and SiP Logic transistors per chip (in millions) Note: logarithmic scale 10,000 1,

4 Multi-Processor SoC (MPSoC) Design Trends System-on-Chip (SoC) Higher performance More Moore System-in-Package (SiP) Extended functionalities More Than Moore 3D Integration Combining SoC and SiP Source : Balinga, Banerjee 4

5 Multi-Processor SoC (MPSoC) Design Trends System-on-Chip (SoC) Higher performance More Moore System-in-Package (SiP) Extended functionalities More Than Moore 3D Integration Combining SoC and SiP 5

6 Multi-Processor SoC (MPSoC) Design Trends 3D Integration Technology Promising paradigm for Heterogeneous Systems Multiple tiers multiple technologies Functions will use the best technology available Ex. computing electronics / communication optics Optical Layer Electric Layers Proc 1 Proc 2 Proc n Network-on-Chip 6

7 Configuration Parameters in MPSoC Design No. of proc. in the architecture No. of layers (tiers) Type of Network on Chip Technology used for each layer Application Mapping T1 T3 T5 T7 T9 T0 T11 T2 T4 T6 T8 T10 Proc 1 Proc 2 Proc n Network-on-Chip 7

8 Challenges for MPSoC Design Huge solutions space System-level approaches for design-space exploration are mandatory T1 T3 T5 T7 T9 T0 T11 T2 T4 T6 T8 T10 Proc 1 Proc 2 Proc n Network-on-Chip 8

9 Outline System-Level Approach for Optimizing Configuration and Application Mapping for MPSoC Architectures Case Studies Conclusions 9

10 System-Level Exploration Flow Application Model T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 Optimization Metrics Architecture Model Proc 1 Proc 2 Proc n Network-on-Chip Multi-Objective Optimization - exploration engine - Performance Analysis Set of Pareto Solutions Promising Mappings and Configurations Visualization & Debug 10

11 Application Model Streaming Applications Regular and repeating computations Explicit parallel, independent computations Explicit communication T1 T3 T5 T0 T2 T4 T6 T7 T8 T9 T10 T11 11

12 Application Model Directed acyclic graph G = (T,E) T set of tasks Annotated with an execution time Expressed in no. of Clock Cycles (cc) required for the execution E - set of edges Annotated with the amount of data transferred between the tasks connected by the edge Expressed in bytes (b) T1 T3 T5 T7 T9 T0 T kcc 12 kb 12 kb T2 537 kcc 537 kcc 16 kb 16 kb 536 kcc 268 kcc T4 24 kb 24 kb T6 T8 268 kcc 268kcc T kcc 268 kcc 28 kb 28 kb 536 kcc 536 kcc 32 kb 32 kb 24 kb 24 kb 100 kcc 12

13 System-Level Exploration Flow Application Model T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 Optimization Metrics Architecture Model Proc 1 Proc 2 Proc n Network-on-Chip Multi-Objective Optimization - exploration engine - Performance Analysis Set of Pareto Solutions Promising Mappings and Configurations Visualization & Debug 13

14 Architecture Model Planar Architectures Set of Nodes interconnected through a Network-on-Chip (NoC) Node - a subsystem including a processor and its local memory NoC - composed of a set of Links and Switches Mainly bandwidth (streaming) 3x3 MESH 14

15 Architecture Model: 3D MESH 3D MESH Architectures Extrapolation of existing planar architectures Switches adapted for vertical routing Interconnection types Intra-layer Inter-layer 15

16 3D MPSoC Including Optical Networks-on-Chip (ONoC) Architecture defined by extrapolation of 2 planar approaches : 1. Electrical Network on Chip 2. Optical Network on Chip Wavelength Division Multiplexing (WDM) Low latency (<1 ns) Limited by optical/electrical interfaces Objectives: exploration of the design space by considering technological constraints 16

17 3D MPSoC Including Optical Networks-on-Chip Optical Network Interface (ONI) receiver driver SER Interconnect Ratio IR = No of ONI Total No of Nodes 17

18 System-Level Exploration Flow Application Model T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 Optimization Metrics Architecture Model Proc 1 Proc 2 Proc n Network-on-Chip Multi-Objective Optimization - exploration engine - Performance Analysis Set of Pareto Solutions Promising Mappings and Configurations Visualization & Debug 18

19 Optimization Metrics 1. Execution time 2. Critical Delay 3. Area cost 19

20 Optimization Metrics 1. Execution time The time required to execute a complete iteration of an application 20

21 Optimization Metrics 1. Execution time 2. Critical Delay The delay between executions of application iterations Defines the throughput of the system 21

22 Optimization Metrics 1. Execution time 2. Critical Delay Communication oriented event-based simulator 22

23 System-Level Exploration Flow Application Model T0 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11 Optimization Metrics Architecture Model Proc 1 Proc 2 Proc n Network-on-Chip Multi-Objective Optimization - exploration engine - Performance Analysis Set of Pareto Solutions Promising Mappings and Configurations Visualization & Debug 23

24 Exploration Engine Automatic multi-objective optimization Exploits evolutionary algorithms Chromosome-like representation 24

25 Combining Mapping and HW- SW Partitioning 25

26 Outline System-Level Approach for Optimizing Configuration and Application Mapping for MPSoC Architectures Case Studies Conclusions 26

27 Demosaic Image Processing Application 27

28 Mapping Demosaic Application on Planar Architectures 28

29 Mapping Demosaic Application on Planar Architectures 29

30 Mapping Demosaic Application on 3D Architectures 30

31 ONoC Design Feasibility for Two Layers Architectures 31

32 Conclusions Optimizing Configuration and Application Mapping for MPSoC Architectures System-level Automated Case studies Configuration of planar and 3D MPSoC architectures Demosaic application T1 T3 T5 T7 T9 T0 T11 T2 T4 T6 T8 T10 Proc 1 Proc 2 Proc n Network-on-Chip 32

33 Optical Network-on-Chip wavelength matrix network topology 33

34 Throughput performances (random traffic generation) 34

35 Multi-ONoC 35

36 Multi-ONoC Layout 36

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors

Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors 2011 International Symposium on Computer Networks and Distributed Systems (CNDS), February 23-24, 2011 Hyper Node Torus: A New Interconnection Network for High Speed Packet Processors Atefeh Khosravi,

More information

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU

ESE566 REPORT3. Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU ESE566 REPORT3 Design Methodologies for Core-based System-on-Chip HUA TANG OVIDIU CARNU Nov 19th, 2002 ABSTRACT: In this report, we discuss several recent published papers on design methodologies of core-based

More information

Breaking the Interleaving Bottleneck in Communication Applications for Efficient SoC Implementations

Breaking the Interleaving Bottleneck in Communication Applications for Efficient SoC Implementations Microelectronic System Design Research Group University Kaiserslautern www.eit.uni-kl.de/wehn Breaking the Interleaving Bottleneck in Communication Applications for Efficient SoC Implementations Norbert

More information

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches

3D On-chip Data Center Networks Using Circuit Switches and Packet Switches 3D On-chip Data Center Networks Using Circuit Switches and Packet Switches Takahide Ikeda Yuichi Ohsita, and Masayuki Murata Graduate School of Information Science and Technology, Osaka University Osaka,

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Making Multicore Work and Measuring its Benefits. Markus Levy, president EEMBC and Multicore Association

Making Multicore Work and Measuring its Benefits. Markus Levy, president EEMBC and Multicore Association Making Multicore Work and Measuring its Benefits Markus Levy, president EEMBC and Multicore Association Agenda Why Multicore? Standards and issues in the multicore community What is Multicore Association?

More information

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip

Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Introduction to Exploration and Optimization of Multiprocessor Embedded Architectures based on Networks On-Chip Cristina SILVANO silvano@elet.polimi.it Politecnico di Milano, Milano (Italy) Talk Outline

More information

On-Chip Communications Network Report

On-Chip Communications Network Report On-Chip Communications Network Report ABSTRACT This report covers the results of an independent, blind worldwide survey covering on-chip communications networks (OCCN), defined as is the entire interconnect

More information

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip

Outline. Introduction. Multiprocessor Systems on Chip. A MPSoC Example: Nexperia DVP. A New Paradigm: Network on Chip Outline Modeling, simulation and optimization of Multi-Processor SoCs (MPSoCs) Università of Verona Dipartimento di Informatica MPSoCs: Multi-Processor Systems on Chip A simulation platform for a MPSoC

More information

From Bus and Crossbar to Network-On-Chip. Arteris S.A.

From Bus and Crossbar to Network-On-Chip. Arteris S.A. From Bus and Crossbar to Network-On-Chip Arteris S.A. Copyright 2009 Arteris S.A. All rights reserved. Contact information Corporate Headquarters Arteris, Inc. 1741 Technology Drive, Suite 250 San Jose,

More information

The functions of system LSI become more and more complicated

The functions of system LSI become more and more complicated The functions of system LSI become more and more complicated Current requirement Data processing Compliant to new formats Further expand requirement Innovations of the user interface Recognizing outside

More information

Power-Aware High-Performance Scientific Computing

Power-Aware High-Performance Scientific Computing Power-Aware High-Performance Scientific Computing Padma Raghavan Scalable Computing Laboratory Department of Computer Science Engineering The Pennsylvania State University http://www.cse.psu.edu/~raghavan

More information

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications Harris Z. Zebrowitz Lockheed Martin Advanced Technology Laboratories 1 Federal Street Camden, NJ 08102

More information

Asynchronous Bypass Channels

Asynchronous Bypass Channels Asynchronous Bypass Channels Improving Performance for Multi-Synchronous NoCs T. Jain, P. Gratz, A. Sprintson, G. Choi, Department of Electrical and Computer Engineering, Texas A&M University, USA Table

More information

Multi-objective Design Space Exploration based on UML

Multi-objective Design Space Exploration based on UML Multi-objective Design Space Exploration based on UML Marcio F. da S. Oliveira, Eduardo W. Brião, Francisco A. Nascimento, Instituto de Informática, Universidade Federal do Rio Grande do Sul (UFRGS), Brazil

More information

A Network Management Framework for Emerging Telecommunications Network. asamba@kent.edu

A Network Management Framework for Emerging Telecommunications Network. asamba@kent.edu Symposium on Modeling and Simulation Tools for Emerging Telecommunication Networks: Needs, Trends, Challenges, Solutions Munich, Germany, Sept. 8 9, 2005 A Network Management Framework for Emerging Telecommunications

More information

Memory Architecture and Management in a NoC Platform

Memory Architecture and Management in a NoC Platform Architecture and Management in a NoC Platform Axel Jantsch Xiaowen Chen Zhonghai Lu Chaochao Feng Abdul Nameed Yuang Zhang Ahmed Hemani DATE 2011 Overview Motivation State of the Art Data Management Engine

More information

Supercomputing applied to Parallel Network Simulation

Supercomputing applied to Parallel Network Simulation Supercomputing applied to Parallel Network Simulation David Cortés-Polo Research, Technological Innovation and Supercomputing Centre of Extremadura, CenitS. Trujillo, Spain david.cortes@cenits.es Summary

More information

SOC architecture and design

SOC architecture and design SOC architecture and design system-on-chip (SOC) processors: become components in a system SOC covers many topics processor: pipelined, superscalar, VLIW, array, vector storage: cache, embedded and external

More information

Interconnection Generation for System-on-Chip Design and Design Space Exploration

Interconnection Generation for System-on-Chip Design and Design Space Exploration Vodafone Chair Mobile Communications Systems, Prof. Dr.-Ing. G. Fettweis Interconnection Generation for System-on-Chip Design and Design Space Exploration Dipl.-Ing. Markus Winter Vodafone Chair for Mobile

More information

Exploiting Remote Memory Operations to Design Efficient Reconfiguration for Shared Data-Centers over InfiniBand

Exploiting Remote Memory Operations to Design Efficient Reconfiguration for Shared Data-Centers over InfiniBand Exploiting Remote Memory Operations to Design Efficient Reconfiguration for Shared Data-Centers over InfiniBand P. Balaji, K. Vaidyanathan, S. Narravula, K. Savitha, H. W. Jin D. K. Panda Network Based

More information

PART II. OPS-based metro area networks

PART II. OPS-based metro area networks PART II OPS-based metro area networks Chapter 3 Introduction to the OPS-based metro area networks Some traffic estimates for the UK network over the next few years [39] indicate that when access is primarily

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models

Contents. System Development Models and Methods. Design Abstraction and Views. Synthesis. Control/Data-Flow Models. System Synthesis Models System Development Models and Methods Dipl.-Inf. Mirko Caspar Version: 10.02.L.r-1.0-100929 Contents HW/SW Codesign Process Design Abstraction and Views Synthesis Control/Data-Flow Models System Synthesis

More information

Load Balancing & DFS Primitives for Efficient Multicore Applications

Load Balancing & DFS Primitives for Efficient Multicore Applications Load Balancing & DFS Primitives for Efficient Multicore Applications M. Grammatikakis, A. Papagrigoriou, P. Petrakis, G. Kornaros, I. Christophorakis TEI of Crete This work is implemented through the Operational

More information

Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA

Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA Multistage Interconnection Network for MPSoC: Performances study and prototyping on FPGA B. Neji 1, Y. Aydi 2, R. Ben-atitallah 3,S. Meftaly 4, M. Abid 5, J-L. Dykeyser 6 1 CES, National engineering School

More information

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip

Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Design and Implementation of an On-Chip timing based Permutation Network for Multiprocessor system on Chip Ms Lavanya Thunuguntla 1, Saritha Sapa 2 1 Associate Professor, Department of ECE, HITAM, Telangana

More information

Computer Engineering: MS Program Overview, Fall 2013

Computer Engineering: MS Program Overview, Fall 2013 Computer Engineering: MS Program Overview, Fall 2013 Prof. Steven Nowick (nowick@cs.columbia.edu) Chair, (on sabbatical) Prof. Charles Zukowski (caz@columbia.edu) Acting Chair, Overview of Program The

More information

COMP 422, Lecture 3: Physical Organization & Communication Costs in Parallel Machines (Sections 2.4 & 2.5 of textbook)

COMP 422, Lecture 3: Physical Organization & Communication Costs in Parallel Machines (Sections 2.4 & 2.5 of textbook) COMP 422, Lecture 3: Physical Organization & Communication Costs in Parallel Machines (Sections 2.4 & 2.5 of textbook) Vivek Sarkar Department of Computer Science Rice University vsarkar@rice.edu COMP

More information

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL

MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL MULTISTAGE INTERCONNECTION NETWORKS: A TRANSITION TO OPTICAL Sandeep Kumar 1, Arpit Kumar 2 1 Sekhawati Engg. College, Dundlod, Dist. - Jhunjhunu (Raj.), 1987san@gmail.com, 2 KIIT, Gurgaon (HR.), Abstract

More information

Mixed-Criticality Systems Based on Time- Triggered Ethernet with Multiple Ring Topologies. University of Siegen Mohammed Abuteir, Roman Obermaisser

Mixed-Criticality Systems Based on Time- Triggered Ethernet with Multiple Ring Topologies. University of Siegen Mohammed Abuteir, Roman Obermaisser Mixed-Criticality s Based on Time- Triggered Ethernet with Multiple Ring Topologies University of Siegen Mohammed Abuteir, Roman Obermaisser Mixed-Criticality s Need for mixed-criticality systems due to

More information

LIST OF FIGURES. Figure No. Caption Page No.

LIST OF FIGURES. Figure No. Caption Page No. LIST OF FIGURES Figure No. Caption Page No. Figure 1.1 A Cellular Network.. 2 Figure 1.2 A Mobile Ad hoc Network... 2 Figure 1.3 Classifications of Threats. 10 Figure 1.4 Classification of Different QoS

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

Technical White Paper for Multi-Layer Network Planning

Technical White Paper for Multi-Layer Network Planning Technical White Paper for Multi-Layer Network Planning Technical White Paper for Multi-Layer Network Planning 1 Overview...1 2 Requirement Scenarios...2 2.1 Sharp Increase in Network Construction CapEx...3

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Principles and characteristics of distributed systems and environments

Principles and characteristics of distributed systems and environments Principles and characteristics of distributed systems and environments Definition of a distributed system Distributed system is a collection of independent computers that appears to its users as a single

More information

System level design space exploration for multiprocessor system on chip

System level design space exploration for multiprocessor system on chip IEEE Computer Society Annual Symposium on VLSI System level design space exploration for multiprocessor system on chip Issam Maalej, Guy Gogniat, Jean Luc Philippe European University of Brittany - UBS

More information

LOGICAL TOPOLOGY DESIGN Practical tools to configure networks

LOGICAL TOPOLOGY DESIGN Practical tools to configure networks LOGICAL TOPOLOGY DESIGN Practical tools to configure networks Guido. A. Gavilanes February, 2010 1 Introduction to LTD " Design a topology for specific requirements " A service provider must optimize its

More information

A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs

A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs A RDT-Based Interconnection Network for Scalable Network-on-Chip Designs ang u, Mei ang, ulu ang, and ingtao Jiang Dept. of Computer Science Nankai University Tianjing, 300071, China yuyang_79@yahoo.com.cn,

More information

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) Ajay Joshi Georgia Institute of Technology School of Electrical and Computer Engineering Atlanta, GA 3332-25

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip

Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Design and Implementation of an On-Chip Permutation Network for Multiprocessor System-On-Chip Manjunath E 1, Dhana Selvi D 2 M.Tech Student [DE], Dept. of ECE, CMRIT, AECS Layout, Bangalore, Karnataka,

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

Topological Properties

Topological Properties Advanced Computer Architecture Topological Properties Routing Distance: Number of links on route Node degree: Number of channels per node Network diameter: Longest minimum routing distance between any

More information

PART III. OPS-based wide area networks

PART III. OPS-based wide area networks PART III OPS-based wide area networks Chapter 7 Introduction to the OPS-based wide area network 7.1 State-of-the-art In this thesis, we consider the general switch architecture with full connectivity

More information

Dynamic Network Resources Allocation in Grids through a Grid Network Resource Broker

Dynamic Network Resources Allocation in Grids through a Grid Network Resource Broker INGRID 2007 Instrumenting the GRID Second International Workshop on Distributed Cooperative Laboratories Session 2: Networking for the GRID Dynamic Network Resources Allocation in Grids through a Grid

More information

Relationship between SMP, ASON, GMPLS and SDN

Relationship between SMP, ASON, GMPLS and SDN Relationship between SMP, ASON, GMPLS and SDN With the introduction of a control plane in optical networks, this white paper describes the relationships between different protocols and architectures. Introduction

More information

Scalability and Classifications

Scalability and Classifications Scalability and Classifications 1 Types of Parallel Computers MIMD and SIMD classifications shared and distributed memory multicomputers distributed shared memory computers 2 Network Topologies static

More information

Interconnection Networks

Interconnection Networks Advanced Computer Architecture (0630561) Lecture 15 Interconnection Networks Prof. Kasim M. Al-Aubidy Computer Eng. Dept. Interconnection Networks: Multiprocessors INs can be classified based on: 1. Mode

More information

CHAPTER 6. VOICE COMMUNICATION OVER HYBRID MANETs

CHAPTER 6. VOICE COMMUNICATION OVER HYBRID MANETs CHAPTER 6 VOICE COMMUNICATION OVER HYBRID MANETs Multimedia real-time session services such as voice and videoconferencing with Quality of Service support is challenging task on Mobile Ad hoc Network (MANETs).

More information

Towards a Design Space Exploration Methodology for System-on-Chip

Towards a Design Space Exploration Methodology for System-on-Chip BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 14, No 1 Sofia 2014 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.2478/cait-2014-0008 Towards a Design Space Exploration

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Throughput constraint for Synchronous Data Flow Graphs

Throughput constraint for Synchronous Data Flow Graphs Throughput constraint for Synchronous Data Flow Graphs *Alessio Bonfietti Michele Lombardi Michela Milano Luca Benini!"#$%&'()*+,-)./&0&20304(5 60,7&-8990,.+:&;/&."!?@A>&"'&=,0B+C. !"#$%&'()* Resource

More information

Parallel Programming Survey

Parallel Programming Survey Christian Terboven 02.09.2014 / Aachen, Germany Stand: 26.08.2014 Version 2.3 IT Center der RWTH Aachen University Agenda Overview: Processor Microarchitecture Shared-Memory

More information

White Paper. Requirements of Network Virtualization

White Paper. Requirements of Network Virtualization White Paper on Requirements of Network Virtualization INDEX 1. Introduction 2. Architecture of Network Virtualization 3. Requirements for Network virtualization 3.1. Isolation 3.2. Network abstraction

More information

Network Virtualization Server for Adaptive Network Control

Network Virtualization Server for Adaptive Network Control Network Virtualization Server for Adaptive Network Control Takashi Miyamura,YuichiOhsita, Shin ichi Arakawa,YukiKoizumi, Akeo Masuda, Kohei Shiomoto and Masayuki Murata NTT Network Service Systems Laboratories,

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification

Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification Multi-Objective Genetic Test Generation for Systems-on-Chip Hardware Verification Adriel Cheng Cheng-Chew Lim The University of Adelaide, Australia 5005 Abstract We propose a test generation method employing

More information

Latency in High Performance Trading Systems Feb 2010

Latency in High Performance Trading Systems Feb 2010 Latency in High Performance Trading Systems Feb 2010 Stephen Gibbs Automated Trading Group Overview Review the architecture of a typical automated trading system Review the major sources of latency, many

More information

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy

Hardware Implementation of Improved Adaptive NoC Router with Flit Flow History based Load Balancing Selection Strategy Hardware Implementation of Improved Adaptive NoC Rer with Flit Flow History based Load Balancing Selection Strategy Parag Parandkar 1, Sumant Katiyal 2, Geetesh Kwatra 3 1,3 Research Scholar, School of

More information

Traffic Engineering for Multiple Spanning Tree Protocol in Large Data Centers

Traffic Engineering for Multiple Spanning Tree Protocol in Large Data Centers Traffic Engineering for Multiple Spanning Tree Protocol in Large Data Centers Ho Trong Viet, Yves Deville, Olivier Bonaventure, Pierre François ICTEAM, Université catholique de Louvain (UCL), Belgium.

More information

Extending Platform-Based Design to Network on Chip Systems

Extending Platform-Based Design to Network on Chip Systems Extending Platform-Based Design to Network on Chip Systems Juha-Pekka Soininen 1, Axel Jantsch 2, Martti Forsell 1, Antti Pelkonen 1, Jari Kreku 1, and Shashi Kumar 2 1 VTT Electronics (Technical Research

More information

Management and Orchestration of Virtualized Network Functions

Management and Orchestration of Virtualized Network Functions Management and Orchestration of Virtualized Network Functions Elisa Maini Dep. of Electrical Engineering and Information Technology, University of Naples Federico II AIMS 2014, 30 th June 2014 Outline

More information

Influence of Load Balancing on Quality of Real Time Data Transmission*

Influence of Load Balancing on Quality of Real Time Data Transmission* SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 6, No. 3, December 2009, 515-524 UDK: 004.738.2 Influence of Load Balancing on Quality of Real Time Data Transmission* Nataša Maksić 1,a, Petar Knežević 2,

More information

A Generic Network Interface Architecture for a Networked Processor Array (NePA)

A Generic Network Interface Architecture for a Networked Processor Array (NePA) A Generic Network Interface Architecture for a Networked Processor Array (NePA) Seung Eun Lee, Jun Ho Bahn, Yoon Seok Yang, and Nader Bagherzadeh EECS @ University of California, Irvine Outline Introduction

More information

Facility Usage Scenarios

Facility Usage Scenarios Facility Usage Scenarios GDD-06-41 GENI: Global Environment for Network Innovations December 22, 2006 Status: Draft (Version 0.1) Note to the reader: this document is a work in progress and continues to

More information

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP)

Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) 19th International Symposium on Computer Architecture and High Performance Computing Design of a Feasible On-Chip Interconnection Network for a Chip Multiprocessor (CMP) Seung Eun Lee, Jun Ho Bahn, and

More information

In-network Monitoring and Control Policy for DVFS of CMP Networkson-Chip and Last Level Caches

In-network Monitoring and Control Policy for DVFS of CMP Networkson-Chip and Last Level Caches In-network Monitoring and Control Policy for DVFS of CMP Networkson-Chip and Last Level Caches Xi Chen 1, Zheng Xu 1, Hyungjun Kim 1, Paul V. Gratz 1, Jiang Hu 1, Michael Kishinevsky 2 and Umit Ogras 2

More information

A Dynamic Link Allocation Router

A Dynamic Link Allocation Router A Dynamic Link Allocation Router Wei Song and Doug Edwards School of Computer Science, the University of Manchester Oxford Road, Manchester M13 9PL, UK {songw, doug}@cs.man.ac.uk Abstract The connection

More information

AN EFFICIENT DESIGN OF LATCHES FOR MULTI-CLOCK MULTI- MICROCONTROLLER SYSTEM ON CHIP FOR BUS SYNCHRONIZATION

AN EFFICIENT DESIGN OF LATCHES FOR MULTI-CLOCK MULTI- MICROCONTROLLER SYSTEM ON CHIP FOR BUS SYNCHRONIZATION N EFFICIENT ESIGN OF LTCHES FOR MULTI-CLOCK MULTI- MICROCONTROLLER SYSTEM ON CHIP FOR US SYNCHRONIZTION noop Kumar Vishwakarma 1, Neerja Singh 2 1 Student (M.Tech.), ECE, ES Engineering College Ghaziabad,

More information

How To Provide Qos Based Routing In The Internet

How To Provide Qos Based Routing In The Internet CHAPTER 2 QoS ROUTING AND ITS ROLE IN QOS PARADIGM 22 QoS ROUTING AND ITS ROLE IN QOS PARADIGM 2.1 INTRODUCTION As the main emphasis of the present research work is on achieving QoS in routing, hence this

More information

Introduction to Parallel Computing. George Karypis Parallel Programming Platforms

Introduction to Parallel Computing. George Karypis Parallel Programming Platforms Introduction to Parallel Computing George Karypis Parallel Programming Platforms Elements of a Parallel Computer Hardware Multiple Processors Multiple Memories Interconnection Network System Software Parallel

More information

Load balancing in a heterogeneous computer system by self-organizing Kohonen network

Load balancing in a heterogeneous computer system by self-organizing Kohonen network Bull. Nov. Comp. Center, Comp. Science, 25 (2006), 69 74 c 2006 NCC Publisher Load balancing in a heterogeneous computer system by self-organizing Kohonen network Mikhail S. Tarkov, Yakov S. Bezrukov Abstract.

More information

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09

Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors. NoCArc 09 Performance Evaluation of 2D-Mesh, Ring, and Crossbar Interconnects for Chip Multi- Processors NoCArc 09 Jesús Camacho Villanueva, José Flich, José Duato Universidad Politécnica de Valencia December 12,

More information

Multiple Layer Traffic Engineering in NTT Network Service

Multiple Layer Traffic Engineering in NTT Network Service Multi-layer traffic engineering in photonic-gmpls-router networks Naoaki Yamanaka, Masaru Katayama, Kohei Shiomoto, Eiji Oki and Nobuaki Matsuura * NTT Network Innovation Laboratories * NTT Network Service

More information

RUNTIME NETWORKS-ON-CHIP PERFORMANCE MONITORING.

RUNTIME NETWORKS-ON-CHIP PERFORMANCE MONITORING. TUIe technische universiteit eindhoven Faculty of Electrical Engineering Section Design Technology For Electronic Systems (ICS/ES) ICS-ES 859 Master's Thesis RUNTIME NETWORKS-ON-CHIP PERFORMANCE MONITORING.

More information

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications

Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Physical Synthesis of Bus Matrix for High Bandwidth Low Power On-chip Communications Renshen Wang, Evangeline Young, Ronald Graham and Chung-Kuan Cheng University of California, San Diego, La Jolla, CA

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1

System Interconnect Architectures. Goals and Analysis. Network Properties and Routing. Terminology - 2. Terminology - 1 System Interconnect Architectures CSCI 8150 Advanced Computer Architecture Hwang, Chapter 2 Program and Network Properties 2.4 System Interconnect Architectures Direct networks for static connections Indirect

More information

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA)

Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Performance Evaluation of Multi-Core Multi-Cluster Architecture (MCMCA) Norhazlina Hamid, Robert J. Walters and Gary B. Wills School of Electronics & Computer Science, University of Southampton, SO17 1BJ,

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design Applying the Benefits of on a Chip Architecture to FPGA System Design WP-01149-1.1 White Paper This document describes the advantages of network on a chip (NoC) architecture in Altera FPGA system design.

More information

On the Placement of Management and Control Functionality in Software Defined Networks

On the Placement of Management and Control Functionality in Software Defined Networks On the Placement of Management and Control Functionality in Software Defined Networks D.Tuncer et al. Department of Electronic & Electrical Engineering University College London, UK ManSDN/NfV 13 November

More information

1. PUBLISHABLE SUMMARY

1. PUBLISHABLE SUMMARY 1. PUBLISHABLE SUMMARY ICT-eMuCo (www.emuco.eu) is a European project with a total budget of 4.6M which is supported by the European Union under the Seventh Framework Programme (FP7) for research and technological

More information

Chapter 2. Multiprocessors Interconnection Networks

Chapter 2. Multiprocessors Interconnection Networks Chapter 2 Multiprocessors Interconnection Networks 2.1 Taxonomy Interconnection Network Static Dynamic 1-D 2-D HC Bus-based Switch-based Single Multiple SS MS Crossbar 2.2 Bus-Based Dynamic Single Bus

More information

Next Generation High Speed Computing Using System-on-Chip (SoC) Technology

Next Generation High Speed Computing Using System-on-Chip (SoC) Technology Next Generation High Speed Computing Using System-on-Chip (SoC) Technology Qurat-ul-Ain Malik 1 and M. Aqeel Iqbal 2 Department of Software Engineering Faculty of Engineering & IT, FUIEMS, Rawalpindi (46000),

More information

Multiprocessor System-on-Chip

Multiprocessor System-on-Chip http://www.artistembedded.org/fp6/ ARTIST Workshop at DATE 06 W4: Design Issues in Distributed, CommunicationCentric Systems Modelling Networked Embedded Systems: From MPSoC to Sensor Networks Jan Madsen

More information

Juniper Networks QFabric: Scaling for the Modern Data Center

Juniper Networks QFabric: Scaling for the Modern Data Center Juniper Networks QFabric: Scaling for the Modern Data Center Executive Summary The modern data center has undergone a series of changes that have significantly impacted business operations. Applications

More information

AMD Opteron Quad-Core

AMD Opteron Quad-Core AMD Opteron Quad-Core a brief overview Daniele Magliozzi Politecnico di Milano Opteron Memory Architecture native quad-core design (four cores on a single die for more efficient data sharing) enhanced

More information

Networked Embedded Systems: Design Challenges

Networked Embedded Systems: Design Challenges Networked Embedded Systems: Design Challenges Davide Quaglia Electronic Systems Design Group University of Verona 3 a giornata nazionale di Sintesi Logica, Verona, Jun 21, 2007 Outline Motivation Networked

More information

An Interactive Visualization Tool for the Analysis of Multi-Objective Embedded Systems Design Space Exploration

An Interactive Visualization Tool for the Analysis of Multi-Objective Embedded Systems Design Space Exploration An Interactive Visualization Tool for the Analysis of Multi-Objective Embedded Systems Design Space Exploration Toktam Taghavi, Andy D. Pimentel Computer Systems Architecture Group, Informatics Institute

More information

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere!

Interconnection Networks. Interconnection Networks. Interconnection networks are used everywhere! Interconnection Networks Interconnection Networks Interconnection networks are used everywhere! Supercomputers connecting the processors Routers connecting the ports can consider a router as a parallel

More information

RAMCloud and the Low- Latency Datacenter. John Ousterhout Stanford University

RAMCloud and the Low- Latency Datacenter. John Ousterhout Stanford University RAMCloud and the Low- Latency Datacenter John Ousterhout Stanford University Most important driver for innovation in computer systems: Rise of the datacenter Phase 1: large scale Phase 2: low latency Introduction

More information

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip.

Lecture 11: Multi-Core and GPU. Multithreading. Integration of multiple processor cores on a single chip. Lecture 11: Multi-Core and GPU Multi-core computers Multithreading GPUs General Purpose GPUs Zebo Peng, IDA, LiTH 1 Multi-Core System Integration of multiple processor cores on a single chip. To provide

More information

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012)

Lecture 18: Interconnection Networks. CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Lecture 18: Interconnection Networks CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Announcements Project deadlines: - Mon, April 2: project proposal: 1-2 page writeup - Fri,

More information

A Software Architecture for a Photonic Network Planning Tool

A Software Architecture for a Photonic Network Planning Tool A Software Architecture for a Photonic Network Planning Tool Volker Feil, Jan Späth University of Stuttgart, Institute of Communication Networks and Computer Engineering Pfaffenwaldring 47, D-70569 Stuttgart

More information

TÓPICOS AVANÇADOS EM REDES ADVANCED TOPICS IN NETWORKS

TÓPICOS AVANÇADOS EM REDES ADVANCED TOPICS IN NETWORKS Mestrado em Engenharia de Redes de Comunicações TÓPICOS AVANÇADOS EM REDES ADVANCED TOPICS IN NETWORKS 2008-2009 Exemplos de Projecto - Network Design Examples 1 Hierarchical Network Design 2 Hierarchical

More information

On real-time delay monitoring in software-defined networks

On real-time delay monitoring in software-defined networks On real-time delay monitoring in software-defined networks Victor S. Altukhov Lomonosov Moscow State University Moscow, Russia victoralt@lvk.cs.msu.su Eugene V. Chemeritskiy Applied Research Center for

More information

Traffic Engineering & Network Planning Tool for MPLS Networks

Traffic Engineering & Network Planning Tool for MPLS Networks Traffic Engineering & Network Planning Tool for MPLS Networks Dr. Associate Professor, Department of Electrical Engineering Indian Institute of Technology Bombay, Powai, Mumbai 76 Founder & Director, Vegayan

More information

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Antoni Roca, Jose Flich Parallel Architectures Group Universitat Politechnica de Valencia (UPV) Valencia, Spain Giorgos Dimitrakopoulos

More information

Kevin Webb, Alex Snoeren, Ken Yocum UC San Diego Computer Science March 29, 2011 Hot-ICE 2011

Kevin Webb, Alex Snoeren, Ken Yocum UC San Diego Computer Science March 29, 2011 Hot-ICE 2011 Topology witching for Data Center Networks Kevin Webb, Alex noeren, Ken Yocum UC an Diego Computer cience March 29, 2011 Hot-ICE 2011 Data Center Networks Hosting myriad of applications: Big data: MapReduce

More information