Materials Engineering at the ZMNS: From Nanostructures to Optoelectronic Devices

Size: px
Start display at page:

Download "Materials Engineering at the ZMNS: From Nanostructures to Optoelectronic Devices"

Transcription

1 Materials Engineering at the ZMNS: From Nanostructures to Optoelectronic Devices Gottfried Strasser Institut für Festkörperelektronik Zentrum für Mikro- und Nanostrukturen Fakultät für Elektrotechnik und Informationstechnik Technische Universität Wien October IV EU-RUSSIAN OPEN DAYS

2 Center for Micro- and Nanostructures October IV EU-RUSSIAN OPEN DAYS

3 Cleanroom area 500m 2 (class 100 and 10000) Solid State Electronics Microelectronics Photonics Sensor and Actuator Systems Microwave and Circuit Engineering Physics Materials Engineering

4 ZMNS EE Institut für Festkörperelektronik (solid state electronics) Institut für Mikroelektronik (microelectronics) Institut für Photonik (photonics) Institut für Sensor- & Aktuatorsysteme (sensor and actuators) Institut für elekt. Mess- & Schaltungstechnik (circuit design) TU Vienna Atominstitut (atomic institute) Institut für Allgemeine Physik (general physics) Institut für Festkörperphysik (solid state physics) Institut für Anorganische Chemie (inorganic chemistry) External User

5 Molecular Beam Epitaxy Veeco (Intevac) mod GenII : Ga, Al, In, As // Si, C Riber P32: Ga, Al, In, N, Sb, As //Si XRD (Philips Expert)

6 Lithography - Contact lithography (2x SUSS MJB3, 1 EVG) - Mask production (GCA 3600)

7 EBL Electron Beam Lithography Laser interferometer stage 100 mm by 100 mm travel range 2 nm resolution piezo-positioning Minimum line width < 20 nm Stitching accuracy 40 nm Overlay accuracy 40 nm 18.2 nm

8 Plasma Systems: Etching & Coating Plasma-enhanced CVD processing dielectrics Reactive Ion Etching

9 RIE ICP Etching of Si & GaAs/AlGaAs/InGaAs & GaN trench 8µm, ridge 20µm, depth 40µm GaAs ICP etching Silicon ICP etching etch rates : 1µm/min trench 8µm, ridge 4µm, depth 40µm

10 Thin Film Technology Evaporators thermal e-beam Sputter RF-magnetron DC low rate

11 Coating and Bonding - Spray coating - Wafer bonding - Double sided aligning

12 Analysis - Focused Ion Beam - Electron Beam Microscope - AFM - Dektak - Ellipsometry

13 Research Topics: Project Name Funding Project Name CoQuS Euro Graphene HipoSwitch HiTGaN ICARUS Ionenstrahlinduzierte Nanodrahtsynthese IRON II: III-V based IR nanodevices IRON II: IR response of nanostructures IRON II: Electronic transport in nanostructures PLATON THz Photonics PLATON III-V Nanostructures Plasmonic IR Devices RTN: Novel Materials Funding FWF FWF EU-ICT EU-ICT EU-IP FWF FWF SFB FWF SFB FWF SFB FFG FFG EC EU Microdisc Laser WWTF Microstructured Bioelectronic Interfaces Monolithische Integration FWF von Nanodrähten MORGAN EU-NME Nanonoise FWF NILdirect_stamp FFG NSI - NanoScapel FFG Scanning FWF Electroluminescence Microscopy on Nanostructures Spectrosmart FFG plus projects funded by companies

14 High-k Materials high-k nano wire transistors Y 2 O 3 and ZrO 2 MOS-Structures vertically integrated nanowire field effect transistor Single crystalline Si-NW AG E. Bertagnolli

15 Advanced Lithography Imprint Lithography large area fabrication of nanopatterned surfaces Maskless Lithography direct-writing with focused e-beams AG E. Bertagnolli

16 Integrated Sensor Systems Physical chemosensors, biochips, microfluidics, MEMS sensors 3D fluidic lens Lorentz-force viscosity sensor Technology: Silicon MEMS, glass, ceramics, SU-8, microstereolitho, 2-photon structuring 2-photon lens AG M. Vellekoop

17 from nanostructures C C E E B B to optoelectronic devices

18 Motivation intersubband transitions Electronics Terahertzgap Photonics 10 9 Hz Hz Hz Hz Hz Hz Hz Hz Frequency Radiowellen MM MW FIR MIR NIR VIS UV X-Rays 30 cm 3 cm 3 mm 300 µm 30 µm 3 µm 300 nm 30 nm Wavelength intraband laser Applications µm Fingerprint region Continuous pollutant analysis, trace monitoring optical communication (wireless LAN) in the atmospheric windows medicine applications / scanners (security) / counter measures Basic science new material combinations & concepts telecom wavelength sources & detectors room temperature THz sources

19 Semiconductor heterostructures conduction band quantum well AlGaAs GaAs AlGaAs energy growth direction growth direction valence band 2D electron gases, phemts (FETs), tunneling diodes (RTDs), quantum wells (MQWs), superlattices (SLs), hot electron transistors, light emitting diodes (LEDs), semiconductor laser, QW laser, VCSELs, QCLs, THz laser, detectors (QWIPs), Bragg reflectors,

20 Optoelectronic devices interband laser QW Laser intraband laser QC Laser ћω E gap = 1.5 ev ћω = ev CB VB

21 Quantum Cascade Laser (QCL) e injector injector active region radiative transition An electron streams down a staircase and emits a photon at each step. Cascade: one electron can emit as many photons as cascades.

22 Device Fabrication for QCLs Design growth of nanostructures waveguide design distance (µm) spacer active spacer substrate mode intensity n+ n+ Fabry-Perot DFB micro-cavity

23 Nanostructured Devices processing DFB Coupled Cavity Bragg mirror Y-coupled QCL Surface emitter MIR Disks & Rings Lateral & Ring DFBs THz Disks & Rings PBG QCs

24 Design & Fabrication Mix & Match processing radial grating with e-beam lithography 200 µm ridges, insulations, contacts with standard UV-lithography 40 µm Extended contact Insulation Au-grating 10 µm

25 MIR Ring-CSEL vs. FP ring-csel FP narrow circular beam (~1.5 ) ring shaped pattern (interference pattern) high beam divergence ~30 (10µm ridge)

26 Spectra Signal (norm.) Wavelength (µm) a) FP ring-csel Λ= µm 100 khz/20 ns 78 K 10 ka/cm Wavenumbers (cm -1 ) Signal (norm.) Wavelength (µm) b) FP ring-csel Λ= µm 100 khz/20 ns 293 K 6 ka/cm Wavenumbers (cm -1 ) Signal (norm.) Frequency (THz) Wavelength (µm) c) FP ring-csel Λ= µm 50 khz/1 µs 10 K 1.8 ka/cm Wavenumbers (cm -1 ) λ~4 µm λ~8 µm λ~93 µm (3.24 THz) side mode suppression ratio (SMSR) higher than 25 db Signal (norm.) Wavelength (µm) a) Λ= µm 100 khz/20 ns 78 K 10 ka/cm Wavenumbers (cm -1 ) Signal (norm.) Frequency (THz) Wavelength (µm) c) Λ= µm 50 khz/1 µs 10 K 1.8 ka/cm Wavenumbers (cm -1 )

27 Broadband Array Widely spectral tunable array λ 1 λ 2 16-ring-CSEL broadband array 1mm λ n-1 λ n + =

28 Spectra linear spectral tuning tuning range ~180 cm -1 SMSR ~25 db

29 Spectral Dependency threshold current density is not influenced by the cavity (grating design, since no facets are present) power and threshold variations given by gain profile ITQW 2011, Sardinia

30 Outlook coherent coupling coherent high power array λ 1 widely spectral tunable array λ 1 λ 2 2D beam steering λ n-1 λ n

31 Thanks to and thank you for your attention!

32 GaN High Power Transistors InAlN/GaN barrier recessing GaN passivation eliminates the gate lag effect Fabrication and analysis of normally-off InAlN HEMTs MOS GaN transistors with different dielectric layers

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh extreme lithography extreme lithography xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh Wilhelm-Runge-Str. 11 89081 Ulm Germany phone +49 731 505 59 00 fax +49 731 505 59 05

More information

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer

Robert G. Hunsperger. Integrated Optics. Theory and Technology. Fourth Edition. With 195 Figures and 17 Tables. Springer Robert G. Hunsperger Integrated Optics Theory and Technology Fourth Edition With 195 Figures and 17 Tables Springer Contents 1. Introduction 1 1.1 Advantages of Integrated Optics 2 1.1.1 Comparison of

More information

Steps to the exploitation of millimeter and sub-millimeter wave generation and detection for communication, sensing, and imaging

Steps to the exploitation of millimeter and sub-millimeter wave generation and detection for communication, sensing, and imaging Steps to the exploitation of millimeter and sub-millimeter wave generation and detection for communication, sensing, and imaging Collaboration: Germany: TU Darmstadt, Institut für Hochfrequenztechnik,

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Types of Epitaxy. Homoepitaxy. Heteroepitaxy

Types of Epitaxy. Homoepitaxy. Heteroepitaxy Epitaxy Epitaxial Growth Epitaxy means the growth of a single crystal film on top of a crystalline substrate. For most thin film applications (hard and soft coatings, optical coatings, protective coatings)

More information

Real-world applications of intense light matter interaction beyond the scope of classical micromachining.

Real-world applications of intense light matter interaction beyond the scope of classical micromachining. Dr. Lukas Krainer lk@onefive.com CEO Real-world applications of intense light matter interaction beyond the scope of classical micromachining. 1 Management & Company Company Based in Zürich, Switzerland

More information

MEMS mirror for low cost laser scanners. Ulrich Hofmann

MEMS mirror for low cost laser scanners. Ulrich Hofmann MEMS mirror for low cost laser scanners Ulrich Hofmann Outline Introduction Optical concept of the LIDAR laser scanner MEMS mirror requirements MEMS mirror concept, simulation and design fabrication process

More information

Surface plasmon nanophotonics: optics below the diffraction limit

Surface plasmon nanophotonics: optics below the diffraction limit Surface plasmon nanophotonics: optics below the diffraction limit Albert Polman Center for nanophotonics FOM-Institute AMOLF, Amsterdam Jeroen Kalkman Hans Mertens Joan Penninkhof Rene de Waele Teun van

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale

Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale Scanning Near-Field Optical Microscopy for Measuring Materials Properties at the Nanoscale Outline Background Research Design Detection of Near-Field Signal Submonolayer Chemical Sensitivity Conclusions

More information

Raman spectroscopy Lecture

Raman spectroscopy Lecture Raman spectroscopy Lecture Licentiate course in measurement science and technology Spring 2008 10.04.2008 Antti Kivioja Contents - Introduction - What is Raman spectroscopy? - The theory of Raman spectroscopy

More information

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture

Nanoelectronics 09. Atsufumi Hirohata Department of Electronics. Quick Review over the Last Lecture Nanoelectronics 09 Atsufumi Hirohata Department of Electronics 12:00 Wednesday, 4/February/2015 (P/L 006) Quick Review over the Last Lecture ( Field effect transistor (FET) ): ( Drain ) current increases

More information

Fiber Optics: Engineering from Global to Nanometer Dimensions

Fiber Optics: Engineering from Global to Nanometer Dimensions Fiber Optics: Engineering from Global to Nanometer Dimensions Prof. Craig Armiento Fall 2003 1 Optical Fiber Communications What is it? Transmission of information using light over an optical fiber Why

More information

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM.

Basic principles and mechanisms of NSOM; Different scanning modes and systems of NSOM; General applications and advantages of NSOM. Lecture 16: Near-field Scanning Optical Microscopy (NSOM) Background of NSOM; Basic principles and mechanisms of NSOM; Basic components of a NSOM; Different scanning modes and systems of NSOM; General

More information

T.M.M. TEKNIKER MICROMACHINING

T.M.M. TEKNIKER MICROMACHINING T.M.M. TEKNIKER MICROMACHINING Micro and Nanotechnology Dapartment FUNDACION TEKNIKER Avda. Otaola. 20 Tel. +34 943 206744 Fax. +34 943 202757 20600 Eibar http://www.tekniker.es TMM FACILITIES -Clean Room

More information

Laser Based Micro and Nanoscale Manufacturing and Materials Processing

Laser Based Micro and Nanoscale Manufacturing and Materials Processing Laser Based Micro and Nanoscale Manufacturing and Materials Processing Faculty: Prof. Xianfan Xu Email: xxu@ecn.purdue.edu Phone: (765) 494-5639 http://widget.ecn.purdue.edu/~xxu Research Areas: Development

More information

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS

BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM DOT PHOTODETECTORS BY SUB-WAVELENGTH SURFACE GRATINGS Optics and Photonics Letters Vol. 6, No. 1 (2013) 1350002 (6 pages) c World Scientific Publishing Company DOI: 10.1142/S1793528813500020 BROADBAND PHOTOCURRENT ENHANCEMENT IN LONGWAVE INFRARED QUANTUM

More information

Solar Photovoltaic (PV) Cells

Solar Photovoltaic (PV) Cells Solar Photovoltaic (PV) Cells A supplement topic to: Mi ti l S Micro-optical Sensors - A MEMS for electric power generation Science of Silicon PV Cells Scientific base for solar PV electric power generation

More information

Applied Optics and Optical Materials at the Colorado School of Mines

Applied Optics and Optical Materials at the Colorado School of Mines Applied Optics and Optical Materials at the Colorado School of Mines CPIA Annual Meeting 14 November 2007 Charles Durfee Engineering Physics program Applied Optics and Optical Materials Colorado School

More information

Nine-channel wavelength tunable single mode laser array based on slots

Nine-channel wavelength tunable single mode laser array based on slots Nine-channel wavelength tunable single mode laser array based on slots Wei-Hua Guo, 1,* Qiaoyin Lu, 2, Marta Nawrocka, 2, Azat Abdullaev, 2, James O Callaghan, 3, and John F. Donegan 2 1 Department of

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

High-Performance Wavelength-Locked Diode Lasers

High-Performance Wavelength-Locked Diode Lasers Copyright 29 Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the SPIE Photonics West 29, Vol. 7198-38 (29), High-Power Diode Laser Technology and High-Performance

More information

It has long been a goal to achieve higher spatial resolution in optical imaging and

It has long been a goal to achieve higher spatial resolution in optical imaging and Nano-optical Imaging using Scattering Scanning Near-field Optical Microscopy Fehmi Yasin, Advisor: Dr. Markus Raschke, Post-doc: Dr. Gregory Andreev, Graduate Student: Benjamin Pollard Department of Physics,

More information

Quantum- dot based nonlinear source of THz radia5on

Quantum- dot based nonlinear source of THz radia5on Quantum- dot based nonlinear source of THz radia5on A. Andronico a, J. Claudon b, M. Munsch b, I. Favero a, S. Ducci a, J. M. Gérard b, and G. Leo a a Univ Paris Diderot, MPQ Lab, CNRS- UMR 7162, Paris,

More information

semiconductor software solutions Stefan Birner

semiconductor software solutions Stefan Birner Stefan Birner Schmalkaldener Str. 34 D-80807 Munich +49-89 35 89 53 34 Stefan Birner www.nextnano.de stefan.birner@nextnano.de Goal: Business plan & Spin-off Our vision: To establish as the de facto standard

More information

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch)

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch) Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch) Summary POET s implementation of monolithic opto- electronic devices enables the

More information

Porous silicon based optical multilayers

Porous silicon based optical multilayers Porous silicon based optical multilayers PhD thesis János Volk Supervisor: Dr. István Bársony MTA Research Institute for Technical Physics and Materials Sciences Budapest 2005 Premises Due to the discovery

More information

Quantum cascade lasers for TDLS

Quantum cascade lasers for TDLS Quantum cascade lasers for TDLS Stéphane Blaser 1-3 Maximilien-de-Meuron CH-2000 Neuchâtel Switzerland 5th International Conference on Tunable Diode Laser Spectroscopy (TDLS) Industry Session, July 12,

More information

Molecular Beam Epitaxy

Molecular Beam Epitaxy Molecular Beam Epitaxy Klaus Ploog Paul Drude Institut Tutorial Session #1 Epitaxial Growth 27 th International Conference on the Physics of Semiconductors Flagstaff, AZ, 2004 Molecular Beam Epitaxy (MBE)

More information

The Physics of Energy sources Renewable sources of energy. Solar Energy

The Physics of Energy sources Renewable sources of energy. Solar Energy The Physics of Energy sources Renewable sources of energy Solar Energy B. Maffei Bruno.maffei@manchester.ac.uk Renewable sources 1 Solar power! There are basically two ways of using directly the radiative

More information

Coating Technology: Evaporation Vs Sputtering

Coating Technology: Evaporation Vs Sputtering Satisloh Italy S.r.l. Coating Technology: Evaporation Vs Sputtering Gianni Monaco, PhD R&D project manager, Satisloh Italy 04.04.2016 V1 The aim of this document is to provide basic technical information

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Mesoscopic Structures for Microwave-THz Detection

Mesoscopic Structures for Microwave-THz Detection Vol. 113 (2008) ACTA PHYSICA POLONICA A No. 3 Proceedings of the 13th International Symposium UFPS, Vilnius, Lithuania 2007 Mesoscopic Structures for Microwave-THz Detection A. Sužiedėlis a,, S. Ašmontas

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

Volumes. Goal: Drive optical to high volumes and low costs

Volumes. Goal: Drive optical to high volumes and low costs First Electrically Pumped Hybrid Silicon Laser Sept 18 th 2006 The information in this presentation is under embargo until 9/18/06 10:00 AM PST 1 Agenda Dr. Mario Paniccia Director, Photonics Technology

More information

Contents of Technology Course

Contents of Technology Course Contents of Technology Course General observations: The material is organized in modules. Each module treats a distinct part of device fabrication. There is also an introduction (Module 1), a part that

More information

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015

Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Silicon, the test mass substrate of tomorrow? Jerome Degallaix The Next Detectors for Gravitational Wave Astronomy Beijing - 2015 Program of the talk... What we have now What we know about silicon What

More information

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor

Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor Biaxial tripod MEMS mirror and omnidirectional lens for a low cost wide angle laser range sensor U. Hofmann, Fraunhofer ISIT Itzehoe M. Aikio, VTT Finland Abstract Low cost laser scanners for environment

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

The study of structural and optical properties of TiO 2 :Tb thin films

The study of structural and optical properties of TiO 2 :Tb thin films Optica Applicata, Vol. XXXVII, No. 4, 2007 The study of structural and optical properties of TiO 2 :Tb thin films AGNIESZKA BORKOWSKA, JAROSLAW DOMARADZKI, DANUTA KACZMAREK, DAMIAN WOJCIESZAK Faculty of

More information

Excimer Laser Technology

Excimer Laser Technology D. Basting G. Marowsky (Eds.) Excimer Laser Technology With 257 Figures ^y Springer Contents 1 Introduction 1 1.1 Introductory Remarks 1 1.1.1 The Unique Microstructuring Capabilities of Excimer Lasers

More information

Components for Infrared Spectroscopy. Dispersive IR Spectroscopy

Components for Infrared Spectroscopy. Dispersive IR Spectroscopy Components for Infrared Spectroscopy Mid-IR light: 00-000 cm - (5.5 m wavelength) Sources: Blackbody emitters Globar metal oxides Nernst Glower: Silicon Carbide Detectors: Not enough energy for photoelectric

More information

Short overview of TEUFEL-project

Short overview of TEUFEL-project Short overview of TEUFEL-project ELAN-meeting may 2004 Frascati (I) Contents Overview of TEUFEL project at Twente Photo cathode research Recent experience Outlook Overview FEL Drive laser Photo cathode

More information

Thermal Antenna for Passive THz Security Screening System and Current- Mode Active-Feedback Readout Circuit for Thermal Sensor

Thermal Antenna for Passive THz Security Screening System and Current- Mode Active-Feedback Readout Circuit for Thermal Sensor Department of Electrical Engineering Thermal Antenna for Passive THz Security Screening System and Current- Mode Active-Feedback Readout Circuit for Thermal Sensor 1. Background Alon Rotman and Roy Nicolet

More information

Nano Optics: Overview of Research Activities. Sergey I. Bozhevolnyi SENSE, University of Southern Denmark, Odense, DENMARK

Nano Optics: Overview of Research Activities. Sergey I. Bozhevolnyi SENSE, University of Southern Denmark, Odense, DENMARK Nano Optics: Overview of Research Activities SENSE, University of Southern Denmark, Odense, DENMARK Optical characterization techniques: Leakage Radiation Microscopy Scanning Near-Field Optical Microscopy

More information

How To Make A Plasma Control System

How To Make A Plasma Control System XXII. Erfahrungsaustausch Mühlleiten 2015 Plasmaanalyse und Prozessoptimierung mittels spektroskopischem Plasmamonitoring in industriellen Anwendungen Swen Marke,, Lichtenau Thomas Schütte, Plasus GmbH,

More information

Quantum Computing for Beginners: Building Qubits

Quantum Computing for Beginners: Building Qubits Quantum Computing for Beginners: Building Qubits Suzanne Gildert Condensed Matter Physics Research (Quantum Devices Group) University of Birmingham 28/03/2007 Overview of this presentation What is a Qubit?

More information

Hard Condensed Matter WZI

Hard Condensed Matter WZI Hard Condensed Matter WZI Tom Gregorkiewicz University of Amsterdam VU-LaserLab Dec 10, 2015 Hard Condensed Matter Cluster Quantum Matter Optoelectronic Materials Quantum Matter Amsterdam Mark Golden Anne

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Session 2A2a Femtosecond Photonics: Microfabrication and Optical Data Storage 2

Session 2A2a Femtosecond Photonics: Microfabrication and Optical Data Storage 2 Session 2A2a Femtosecond Photonics: Microfabrication and Optical Data Storage 2 Femtosecond Photonics for Multilayered Optical Memory Yoshimasa Kawata (Shizuoka University, Japan); M. Miyamoto (Shizuoka

More information

LabRAM HR. Research Raman Made Easy! Raman Spectroscopy Systems. Spectroscopy Suite. Powered by:

LabRAM HR. Research Raman Made Easy! Raman Spectroscopy Systems. Spectroscopy Suite. Powered by: LabRAM HR Research Raman Made Easy! Raman Spectroscopy Systems Powered by: Spectroscopy Suite Cutting-Edge Applications with the LabRAM HR Deeply involved in Raman spectroscopy for decades, HORIBA Scientific

More information

Silicon Drift Detector Product Brochure Update 2013

Silicon Drift Detector Product Brochure Update 2013 Silicon Drift Detector Product Brochure Update 2013 Content Classic Silicon Drift Detector High Resolution Silicon Drift Detector Multielement Silicon Detector Extra Large Detector Series July 2013 About

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

Quantum cascade laser based TERAhertz frequency COMB

Quantum cascade laser based TERAhertz frequency COMB Quantum cascade laser based TERAhertz frequency COMB (FET open project and a way into it...) www.teracomb.eu Juraj Darmo Technische Universität Wien, Ins1tut für Fotonik Talk outline 1. TERACOMB facts

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (no PiN and pinned Diodes) Peter Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Overview Reminder: Classical Photomultiplier

More information

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach)

CONTENTS. Preface. 1.1.2. Energy bands of a crystal (intuitive approach) CONTENTS Preface. Energy Band Theory.. Electron in a crystal... Two examples of electron behavior... Free electron...2. The particle-in-a-box approach..2. Energy bands of a crystal (intuitive approach)..3.

More information

APPLIED RESEARCH CENTER

APPLIED RESEARCH CENTER APPLIED RESEARCH CENTER HIGH-TECH SOLUTIONS NANOTECHNOLOGY THIN FILM DEPOSITION MATERIALS CHARACTERIZATION Applied Research Center Frank Batten College of Engineering & Technology Old Dominion University

More information

Sunday September 11 th. 16.30-18.30 Registration. 19.00 Welcome Cocktail. Monday September 12 th

Sunday September 11 th. 16.30-18.30 Registration. 19.00 Welcome Cocktail. Monday September 12 th Sunday September 11 th 16.30-18.30 Registration 19.00 Welcome Cocktail Monday September 12 th 09:00-09:15 G. Scamarcio and A. Tredicucci Welcome and Opening remarks 09:15-10- 35 Mid- IR QCLs I Chair: J.

More information

5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy

5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy 5. Scanning Near-Field Optical Microscopy 5.1. Resolution of conventional optical microscopy Resolution of optical microscope is limited by diffraction. Light going through an aperture makes diffraction

More information

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy

Picosun World Forum, Espoo 9.6.2009. 35 years of ALD. Tuomo Suntola, Picosun Oy. Tuomo Suntola, Picosun Oy 35 years of ALD Conventional methods for compound film deposition Heat treatment Final crystallization Nucleation Vacuum evaporation Sputtering CVD Buildup of thin film in source controlled deposition

More information

FIBER LASER STRAIN SENSOR DEVICE

FIBER LASER STRAIN SENSOR DEVICE FIBER LASER STRAIN SENSOR DEVICE E. Maccioni (1,2), N. Beverini (1,2), M. Morganti (1,2) F. Stefani (2,3), R. Falciai (4), C. Trono (4) (1) Dipartimento di Fisica E. Fermi Pisa (2) INFN Sez. Pisa (3) Dipartimento

More information

Photonics for the Coherent CFP2-ACO Unlocking 100G and 200G for the Metro

Photonics for the Coherent CFP2-ACO Unlocking 100G and 200G for the Metro Photonics for the Coherent CFP2-ACO Unlocking 100G and 200G for the Metro Brandon Collings JDSU September, 2014 ECOC This communication contains forward looking product development plans based on our current

More information

Nano-Spectroscopy. Solutions AFM-Raman, TERS, NSOM Chemical imaging at the nanoscale

Nano-Spectroscopy. Solutions AFM-Raman, TERS, NSOM Chemical imaging at the nanoscale Nano-Spectroscopy Solutions AFM-Raman, TERS, NSOM Chemical imaging at the nanoscale Since its introduction in the early 80 s, Scanning Probe Microscopy (SPM) has quickly made nanoscale imaging an affordable

More information

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob

Chemical Sputtering. von Kohlenstoff durch Wasserstoff. W. Jacob Chemical Sputtering von Kohlenstoff durch Wasserstoff W. Jacob Centre for Interdisciplinary Plasma Science Max-Planck-Institut für Plasmaphysik, 85748 Garching Content: Definitions: Chemical erosion, physical

More information

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007

DOE Solar Energy Technologies Program Peer Review. Denver, Colorado April 17-19, 2007 DOE Solar Energy Technologies Program Peer Review Evaluation of Nanocrystalline Silicon Thin Film by Near-Field Scanning Optical Microscopy AAT-2-31605-05 Magnus Wagener and George Rozgonyi North Carolina

More information

Data Storage and HAMR

Data Storage and HAMR Data Storage and HAMR Seagate Technology Mark Gubbins April 2013 Overview Storage Business and Hard Drives Magnetic Recording Head Technology HAMR - The Future of Magnetic Recording 2 The Move Toward Mobility

More information

Single mode lasers based on slots suitable for photonic integration

Single mode lasers based on slots suitable for photonic integration Single mode lasers based on slots suitable for photonic integration Qiaoyin Lu, 1,* Weihua Guo, 2 Marta Nawrocka, 1 Azat Abdullaev, 1 Chris Daunt, 3 James O Callaghan, 3 Michael Lynch, 1 Vincent Weldon,

More information

Luminescence study of structural changes induced by laser cutting in diamond films

Luminescence study of structural changes induced by laser cutting in diamond films Luminescence study of structural changes induced by laser cutting in diamond films A. Cremades and J. Piqueras Departamento de Fisica de Materiales, Facultad de Fisicas, Universidad Complutense, 28040

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

Near-field scanning optical microscopy (SNOM)

Near-field scanning optical microscopy (SNOM) Adviser: dr. Maja Remškar Institut Jožef Stefan January 2010 1 2 3 4 5 6 Fluorescence Raman and surface enhanced Raman 7 Conventional optical microscopy-limited resolution Two broad classes of techniques

More information

Broadband THz Generation from Photoconductive Antenna

Broadband THz Generation from Photoconductive Antenna Progress In Electromagnetics Research Symposium 2005, Hangzhou, China, August 22-26 331 Broadband THz Generation from Photoconductive Antenna Qing Chang 1, Dongxiao Yang 1,2, and Liang Wang 1 1 Zhejiang

More information

Tecnologie TeraHertz per la Sicurezza

Tecnologie TeraHertz per la Sicurezza Tecnologie TeraHertz per la Sicurezza Aldo Di Carlo, Dept. Electr. Eng. Univ. Rome Tor Vergata (ITAY) In collaboration with: Francesca Brunetti,, Claudio Paoloni, Maria etizia Teranova, Matteo Cirillo

More information

Femtosecond laser-induced silicon surface morphology in water confinement

Femtosecond laser-induced silicon surface morphology in water confinement Microsyst Technol (2009) 15:1045 1049 DOI 10.1007/s00542-009-0880-8 TECHNICAL PAPER Femtosecond laser-induced silicon surface morphology in water confinement Yukun Han Æ Cheng-Hsiang Lin Æ Hai Xiao Æ Hai-Lung

More information

Micro-Power Generation

Micro-Power Generation Micro-Power Generation Elizabeth K. Reilly February 21, 2007 TAC-meeting 1 Energy Scavenging for Wireless Sensors Enabling Wireless Sensor Networks: Ambient energy source Piezoelectric transducer technology

More information

Semiconductor blue lasers- Polish developments

Semiconductor blue lasers- Polish developments Semiconductor blue lasers- Polish developments Michał Leszczyński ski Konstanty Donimirski Instytut Wysokich Ciśnie nień PAN TopGaN, Sp.z.o.o POLLASNET WOKSHOP 11.12.2006 Warsaw 1 Outline Nitride semiconductor

More information

L-LAS-TB-CL serie. laser light curtains for inline measuring tasks

L-LAS-TB-CL serie. laser light curtains for inline measuring tasks L-LAS-TB-CL serie laser light curtains for inline measuring tasks Maximum distance 2.000 mm Measurement range 6 up to 98 mm Resolution up to 4 µm up to 1,5 khz scan rate 0-10 V or 4-20 ma analogue output

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

High-Reliability Diode Lasers for Gesture Recognition. Applying Telecommunications Reliability Design to Consumer Electronics

High-Reliability Diode Lasers for Gesture Recognition. Applying Telecommunications Reliability Design to Consumer Electronics High-Reliability Diode Lasers for Gesture Recognition Applying Telecommunications Reliability Design to Consumer Electronics www.lumentum.com Application Note A wide range of consumer-electronics applications

More information

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium

Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium Reactive Fusion Cutting When gas used reacts with gas (usually oxygen) burn reaction adds energy to effect Steel typically 60% added energy Titanium 90% added energy However can reaction can chemically

More information

High power picosecond lasers enable higher efficiency solar cells.

High power picosecond lasers enable higher efficiency solar cells. White Paper High power picosecond lasers enable higher efficiency solar cells. The combination of high peak power and short wavelength of the latest industrial grade Talisker laser enables higher efficiency

More information

Photonic Hydrophones based on Coated Fiber Bragg Gratings

Photonic Hydrophones based on Coated Fiber Bragg Gratings Photonic Hydrophones based on Coated Fiber Bragg Gratings M. Pisco, M. Moccia, M. Consales, V. Galdi, A. Cutolo, A. Cusano Optoelectronics Division, Engineering Department, University of Sannio, Benevento,

More information

Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light

Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light Hello and Welcome to this presentation on LED Basics. In this presentation we will look at a few topics in semiconductor lighting such as light generation from a semiconductor material, LED chip technology,

More information

ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante

ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante LUCI DI SINCROTRONE CNR, ROMA 22 APRILE 2014 ESRF Upgrade Phase II: le nuove opportunitá per le linee da magnete curvante Sakura Pascarelli sakura@esrf.fr Page 2 INCREASE IN BRILLIANCE H emittance V emittance

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Introduction to Fourier Transform Infrared Spectrometry

Introduction to Fourier Transform Infrared Spectrometry Introduction to Fourier Transform Infrared Spectrometry What is FT-IR? I N T R O D U C T I O N FT-IR stands for Fourier Transform InfraRed, the preferred method of infrared spectroscopy. In infrared spectroscopy,

More information

Ultrahigh-efficiency solar cells based on nanophotonic design

Ultrahigh-efficiency solar cells based on nanophotonic design Ultrahigh-efficiency solar cells based on nanophotonic design Albert Polman Piero Spinelli Jorik van de Groep Claire van Lare Bonna Newman Erik Garnett Marc Verschuuren Ruud Schropp Wim Sinke Center for

More information

Geography 403 Lecture 7 Scanners, Thermal, and Microwave

Geography 403 Lecture 7 Scanners, Thermal, and Microwave Geography 403 Lecture 7 Scanners, Thermal, and Microwave Needs: Lect_403_7.ppt A. Basics of Passive Electric Sensors 1. Sensors absorb EMR and produce some sort of response, such as voltages differences

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy

Keywords: Planar waveguides, sol-gel technology, transmission electron microscopy Structural and optical characterisation of planar waveguides obtained via Sol-Gel F. Rey-García, C. Gómez-Reino, M.T. Flores-Arias, G.F. De La Fuente, W. Assenmacher, W. Mader ABSTRACT Planar waveguides

More information

The CVD diamond booklet

The CVD diamond booklet available at: www.diamond-materials.com/download Content 1. General properties of diamond... 2 2. Optical Properties... 4 Optical transparency...4 Absorption coefficient at 10.6 µm...5 Refractive index:

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION

NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION NANO SILICON DOTS EMBEDDED SIO 2 /SIO 2 MULTILAYERS FOR PV HIGH EFFICIENCY APPLICATION Olivier Palais, Damien Barakel, David Maestre, Fabrice Gourbilleau and Marcel Pasquinelli 1 Outline Photovoltaic today

More information