The crossbar resistive memory array, in which the storage

Size: px
Start display at page:

Download "The crossbar resistive memory array, in which the storage"

Transcription

1 pubs.acs.org/nanolett A Functional Hybrid Memristor Crossbar-Array/CMOS System for Data Storage and Neuromorphic Applications Kuk-Hwan Kim, Siddharth Gaba, Dana Wheeler, Jose M. Cruz-Albrecht, Tahir Hussain, Narayan Srinivasa, and Wei Lu*, Electrical Engineering and Computer Science, The University of Michigan, Ann Arbor, Michigan 48109, United States HRL Laboratories LLC, 3011 Malibu Canyon Road, Malibu, California , United States *S Supporting Information ABSTRACT: Crossbar arrays based on two-terminal resistive switches have been proposed as a leading candidate for future memory and logic applications. Here we demonstrate a highdensity, fully operational hybrid crossbar/cmos system composed of a transistor- and diode-less memristor crossbar array vertically integrated on top of a CMOS chip by taking advantage of the intrinsic nonlinear characteristics of the memristor element. The hybrid crossbar/cmos system can reliably store complex binary and multilevel 1600 pixel bitmap images using a new programming scheme. KEYWORDS: Memristor, resistive memory (RRAM), crossbar, hybrid integration, multilevel, neuromorphic system The crossbar resistive memory array, in which the storage elements are two-terminal resistive switches (sometimes termed memristors) forming a passive interconnected network, and hybrid crossbar/cmos systems have been identified as a leading candidate for future memory and logic applications However, a fundamental problem for such a passive array is that sneak paths, which correspond to parasitic current paths that bypass the target storage element, can be formed (Figure S1, Supporting Information) and cause the array to be nonfunctional. To suppress current flowing through sneak paths, a memory cell in the crossbar memory essentially needs two components: a memory switching element which offers data storage and a select device which regulates current flow. Several reports have shown that it is possible to scale the switching element down to nanometer scale with excellent performance in terms of speed, retention, and endurance On the other hand, obtaining a suitable select device that can be integrated in a crossbar array has become a significant challenge in resistive memory research, since diodes based on crystalline materials are not suitable for low-temperature fabrication, while those based on low-temperature materials suffer from performance and reliability issues Due to these difficulties, even though a number of approaches have been proposed to address the sneak path problem using diodes as the select device or using novel complementary cell structures, the demonstrations have been essentially limited to the single-device level (either from standalone devices or from arrays in which all nonselected devices were kept in the off-state), and actual array-level operations where many cells are written then read out together have remained elusive. Instead of relying on an external diode as the select device, a more ideal approach is to take advantage of the inherent nonlinear current voltage (I V) characteristics obtained in some resistive switches themselves to break the sneak current paths Here we demonstrate that fully operational crossbar arrays that do not require external transistor or diode select devices can indeed be built by employing switching elements with inherently nonlinear I V characteristics. The transistorand diode-less crossbar arrays can be readily stacked on top of each other to further maximize the density advantage offered by the nanoscale devices. 4 Furthermore, by eliminating the requirement of having an external select device at each crosspoint, this approach significantly simplifies the array fabrication processes and enables the array to be completed at low temperature and directly integrated on top of underlying CMOS circuits. In this demonstration, the CMOS circuits provide peripheral functionality, such as address decoding, to complement the data storage functionalities of the crossbar array. A new programming scheme is also developed to control the device on-resistance and allow for multilevel storage in the array. The device structure studied here consists of a W/SiGe stack, an amorphous Si (a-si) layer, and a Ag layer acting as the bottom electrode, the switching medium, and the top electrode, respectively. The thickness of each layer was carefully designed for arrays of 50 nm half pitch. To prevent CMOS degradation in this back-end-of-line (BEOL) approach, the maximum Received: October 19, 2011 Revised: November 19, 2011 Published: December 5, American Chemical Society 389

2 Nano s Figure 1. (a) SEM image of a crossbar array fabricated on top of a CMOS chip. Scale bar: 5 μm. (b) SEM image of the active crossbar array area showing 50 nm half pitch and 10 Gbits/cm2 density. Scale bar: 500 nm. (c) Schematic of the hybrid integrated system. Insets: schematic highlighting the vertical integration of the crossbar array with the on-chip CMOS circuitry. (d) Schematic of the program/read schemes. Each column or row in the crossbar array is connected to one of the two external signal pads (DATA A for signal applied to the selected column/row, DATA B for signal connected to the unselected column/row) through CMOS decoder circuits controlled by address I/O pads. (e) I V switching characteristics from 10 different cells in the crossbar array. Insets: I V switch characteristics plotted in log scale demonstrating current suppression at negative bias in the on-state. (f) Threshold voltage distribution of 256 cells in the fabricated crossbar array. The threshold voltage is defined as the voltage at which the measured current is above 10 6 A. temperature involved in all the fabrication processes was kept below 425 C. The final structure shown in Figure 1a has 40 top nanowire electrodes crossed with 40 bottom nanowire electrodes with a switching element formed at every crosspoint. A 50 nm half pitch was achieved through electron beam lithography and yielded an equivalent data storage density of 10 Gbits/cm2 (Figure 1b) when storing one bit per memory cell. A higher data storage density was also achieved by multilevel operation as discussed below. To integrate the crossbar structure directly on top of the CMOS circuit, every row (top electrode, corresponding to the word-line) and column (bottom electrode, corresponding to the bit-line) inside the crossbar array was connected through nanoscale ( 300 nm) vias to the output of a specific CMOS decoder unit underneath, as schematically illustrated in Figure 1c. In this integrated system, a row decoder enables the selection of a row wire (word line) for connection to the data input (DATA A) via CMOS pass transistors based on a row address code input. Unselected rows (whose addresses do not match the input address code) are connected to a separate data input (DATA 390

3 Nano s Figure 2. (a) The original black and white bitmap image representing the University of Michigan logo. (b) The reconstructed bitmap image obtained by storing and retrieving data in the crossbar array. (c) A second test image, which is complementary to the original, to be stored in the array. (d) The reconstructed image, obtained by storing the image in (c) in the same array. (e,f) Histograms of the on- and off-state resistances for the data in (b) and (d), respectively. side of the array), instead of having to supply 40 2 data inputs simultaneously, as is the case without CMOS decoder circuitry. Figure 1e shows the I V switching characteristics of the integrated memristor crossbar/cmos system using the programming method described above. Significantly, the fabrication of the memristor crossbar array in BEOL processing does not affect the CMOS device performance, and all programming and read signals can be passed through the CMOS circuit to the crossbar array as designed. In addition, Figure 1e shows that very similar switching curves can be obtained from devices in the fabricated crossbar array with a B), as schematically illustrated in Figure 1d. A similar configuration exists for the columns (bit lines). With the word- and bit-line combinations selected, the desired programming or read voltage (supplied to DATA A) is applied across the selected cell only. All other cells are biased with predefined protective voltages, grounded, or left floating through DATA B. As a result, the integrated system allows random programming of the 1600 cells inside the array using only two DATA inputs and five address inputs at each side (20 rows or columns are connected to decoders on each 391

4 Nano s Figure 3. (a) I V characteristics of a single cell programmed with four different series resistance values (0.1, 0.5, 1, and 5 MΩ), demonstrating multilevel capability. (b) Histogram of the on-state resistances for the four target values. The data were collected from 30 different cells, each of which was programmed into all four levels. (c) Measurement diagram for the conventional programming scheme. In this case, the effective series resistance seen by the target cell consists of additional current paths through half-selected devices and cannot be predicted beforehand. (d) New measurement diagram that enables multilevel storage in the crossbar array. Parallel current paths through the half-selected devices are blocked dueto the external diodes at the outside and the intrinsic current-rectifying characteristics at each crosspoint. Asymmetric protecting voltages V pw and V pb can be applied to the unselected word- and bit-lines, respectively, to minimize disturbances during programming. narrow threshold voltage distribution. Tight distribution of the switching characteristics is a prerequisite for the operation of resistive memories at large scale to avoid accidental programming/erase events during the application of protective or read voltages. To further illustrate the switching parameter statistics, Figure 1f plots the histogram of the threshold voltages obtained from 256 cells in an array, showing a tight distribution with an average threshold voltage of 2.30 V and a standard deviation of 0.07 V. We note that the devices studied here are strictly speaking memristive devices instead of linear memristors, 25 but these two terms are commonly used interchangeably in the literature and will not be distinguished in this paper. It is also noteworthy that the cells maintain an intrinsic current-rectifying behavior as shown in Figure 1e (and its inset), such that the current at reverse bias is pronouncedly suppressed compared to the current at forward bias, consistent with earlier reports on similar stand-alone cells. 21 It needs to be noted that even though the current through the device is suppressed at relatively small reverse bias, the device remains in the on-state, and only transitions to the off-state become erased with large (e.g., < 1.5 V) negative voltages. This effect is verified in Figure S2, Supporting Information, which shows that the on-state is not destroyed with reverse biases up to 1 V. The intrinsic current-rectifying characteristic can effectively break the sneak current paths (Figure S1b, Supporting Information) and is a key reason that the array studied here can operate without having an external transistor or diode at each crosspoint. To test the operation of the integrated crossbar array, a binary bitmap image with 1600 pixels (40 40) representing the University of Michigan logo was prepared (Figure 2a, with the black pixels representing data 0, i.e., the off-state and white pixels representing data 1, i.e., the on-state ). The image was then programmed into the integrated array and read out. For writing 1 into a cell inside the array, a 3.5 V, 100 μs pulse was applied across the selected cell through the CMOS decoder circuit using the protocol discussed above, while the other unselected electrodes in the array were connected to a protective voltage with amplitude equaling half of the programming voltage to minimize disturbance of unselected cells. A similar approach was used for writing 0 using a 1.75 V, 100 μs erase pulse. The programming/erase speed here was mainly limited by the RC delay associated with the setup and can be significantly improved with integrated onchip programming and sensing circuitry, as much faster intrinsic programming speed has been reported on similar devices. 14,21 The programming/erasing was carried out based only on the input pattern and ignored the existing state of the memory 392

5 Nano s Figure 4. (a) A color test image with 10 different target levels to be stored in the array. The resistances are represented by the different colors as defined in the color scale bar on right. (b) The reconstructed data map from the array obtained by storing and retrieving the image in (a) (same color scale). (c) False-color image of the error for the stored data. The error is defined as (Rtarget Rmeasured)/(Rtarget) and represented by different colors in the color scale bar on right. (d) Histogram of the error values for the stored data. the integrated crossbar/cmos system can reliably store data at the array level. In addition, the large on/off ratio offered by the cells (e.g., Figure 1e) suggests the possibility for multilevel cell (MLC) storage. Storing multiple levels in a single memory element is necessary to satisfy the needs of increased storage density and is also required for many neuromorphic applications for which resistive switches (memristors) are ideally suited.26 MLC capability has been demonstrated in resistive memories by controlling the current compliance during switching or equivalently by controlling the series resistance the cell sees To verify MLC capability for devices in the integrated system, a single cell in the crossbar array was programmed (with all other cells in the off-state in this case) using different series resistances (0.1, 0.5, 1, and 5 MΩ). The results shown in Figure 3a demonstrated that MLC is indeed possible with the on-state resistance of the cell controlled by the series resistor value. This multilevel storage effect can be explained by the self-limiting filament growth model in which the filament growth rate is roughly an exponential function of the applied voltage across the memory device.27,28 As the resistance of the memory device approaches the series resistance value, the voltage across the device is reduced by the voltage divider effect, and filament growth significantly slows down resulting in a device resistance determined by the series resistance.27,28 The reproducibility of the MLC operation is verified in Figure 3b, which plots the resistance distribution cells, and a single programming/erase pulse was sufficient for each cell. Once all data were programmed in an array, the information in the array was then read out one cell at a time by applying a 1 V, 500 μs read pulse across the target cell, while grounding all unselected electrodes through the CMOS decoder. To minimize cell wear out, the array was divided into subarrays, and each subarray was programmed as a whole followed by readout. The pixel bitmap image was reconstructed by stitching results from the subarrays together. The resulting image in Figure 2b accurately reflected the initial target image and clearly demonstrated that by taking advantage of the intrinsic nonlinear I V characteristics, the integrated crossbar/cmos system could function well without added transistor or diodes as select devices at each cell. Operations based on larger subarrays (e.g., 20 20) have also been performed, and results are shown in Figure S3, Support Information. To further illustrate the full functionality of the integrated crossbar array, a complementary image (Figure 2c) of the original was stored into the same array using the same approach. The reconstructed image for the complementary bitmap is presented in Figure 2d, verifying every bit in the crossbar array can be reliably reprogrammed to either the 1 or 0 state. The reliability of the memory array is further illustrated by examining the on- and off-state resistance distribution, as plotted in Figure 2e,f for the two cases. Clear separation between the 1 and 0 states is obtained, with at least 20 difference in resistance between the worst cases, verifying that 393

6 Nano s from 30 different cells, each programmed into four different resistance states. However, achieving multilevel storage in crossbar arrays is inherently much more difficult than achieving binary storage, since the series resistance seen by the target cell (or equivalently, the programming current through it) is affected by other cells in the array. As illustrated in Figure 3c, the current flowing through the target cell is not only affected by the external resistor but also by the states of the half-selected cells sharing the same word-line, i.e., the actual series resistance the target cell sees is the combination of the external series resistance and the resistance of the half-selected cells in parallel which cannot be determined beforehand. This effect explains why the resistance distributions obtained in the array shown in Figure 2e,f are larger than those shown in Figure 3b for individual cells and why the distributions are also worse in Figure 2f, which corresponds to a configuration with more cells in the on-state (and hence, more leakage paths) than those in Figure 2e. To address this problem and block the parallel current paths, we developed a new programming scheme. In this approach, schematically illustrated in Figure 3d, external diodes (e.g., P6KE15A, Littelfuse Inc. used in this study) are connected to each unselected bit- and word-line to prevent current flow into the external electrodes and to allow only the applied input voltage signals to path through. Once again, the intrinsic current-rectifying characteristic plays a crucial role in making the approach feasible since it prevents current from flowing backward at the crosspoints to reach the selected bit- or word-line. Combining the intrinsic-rectifying characteristics with external diodes, current flow through the half-selected cells can now be fully prohibited, enabling control over current in the target device during programming for multilevel storage capability. In addition, since no current flows through the halfselected cells, this approach reduces power consumption which is another drawback in conventional crossbar array programming. For comparison, our simulations (Figure S4, Supporting Information) show that without the intrinsic current-rectifying characteristics, programming current through the target cell cannot be controlled even with the application of external diodes at the unselected electrodes. In the new scheme shown in Figure 3d, since the unselected bottom electrodes are virtually floated due to the reverse-biased diodes, they may be charged up during programming to a potential close to the programming voltage. As a result, the internal voltage on the unselected bit-lines, V ub shown in Figure 3d, may be higher than the externally supplied protective voltage V pb, and during programming the unselected cells can potentially see large negative voltages (< 1 V) across them. To reduce this effect, asymmetric protecting voltages were used for the unselected word- and bit-lines (labeled as V pw and V pb, respectively, in Figure 3d with V pw > V pb ). The exact potential distribution across the entire crossbar array was simulated for the worst case scenario and presented in Figure S5, Supporting Information. By properly selecting the protective voltages, the maximum negative voltage the unselected cells could see was shown to be 0.8 V (in the worst case) during programming, not sufficient to disturb the state of the unselected cells. Based on this new programming scheme, a randomly generated color (multilevel) map with 10 different levels (0.025, 0.05, 0.1, 0.25, 0.5, 0.75, 1, 5, 7.5, and 10 MΩ) as presented in Figure 4a, was stored into the array. Each target resistance value was set by a switchable series resistor and programmed using a single 3.5 V, 100 μs voltage pulse. A set of 5 5 subarrays were programmed, followed by a retrieval of all bits in the subarrays with 1 V, 500 μs read pulses without series resistor. The process was repeated to complete the array, and the reconstructed image is presented in Figure 4b. The stored/retrieved image roughly follows the same patterns as the original image; however, some errors are also visible due to the relatively small spacings between the different resistance values used to store the 10 levels. The error, defined as ((R target R measured )/(R target )), is presented in Figure 4c,d. Overall 75% (1200/1600 cells) of the measured resistance values were within 50% of the target value, i.e., 0.5R target < R measured < 1.5R target. The apparent asymmetry of the histogram plot shown in Figure 4d is mainly due to the way error is calculated here using an asymmetric range from to 1. For digital information storage, the error reported here is relatively large but may be improved further by using on-chip integrated current compliance setups instead of an off-chip resistor to reduce parasitic effects. On the other hand, this level of error may not be a significant problem for neuromorphic applications as biological systems typically exhibit similar sized or even larger noise. 30 In summary, high-density, vertically integrated, hybrid memristor/cmos systems have demonstrated and function well by taking advantage of the intrinsic rectifying I V characteristics of the switching device itself. Binary bitmap images were successfully stored and retrieved with considerable read margin. A new programming scheme was developed to allow the integrated crossbar array to store up to 10 different levels by eliminating the parallel current paths. These demonstrations verify that it is possible to build high-density functional crossbar arrays without having to incorporate external select devices at each crosspoint, and the hybrid crossbar/cmos systems are well-suited for the proposed future data storage and neuromorphic applications. 1,7 11 ASSOCIATED CONTENT *S Supporting Information Additional supplementary figures showing the sneak path problem, the intrinsic rectifying behavior, results obtained from operating subarrays, simulation results, and device fabrication processes and measurement setups of the integrated system. This material is available free of charge via the Internet at AUTHOR INFORMATION Corresponding Author * wluee@eecs.umich.edu. ACKNOWLEDGMENTS This work was supported in part by the DARPA SyNAPSE program under contract number HRL C-001 and by the National Science Foundation (NSF) Career award (ECCS ). This work used the Lurie Nanofabrication Facility at the University of Michigan, a member of the National Nanotechnology Infrastructure Network (NNIN) funded by the NSF. The authors acknowledge M. Yung, D. Matthews and A. Soldin for assistance in design of CMOS circuitry. The views expressed are those of the authors and do not reflect the official policy or position of the Department of Defense or the U.S. Government. 394

7 REFERENCES (1) Strukov, D. B.; Likharev, K. K. J. Nanosci. Nanotechnol. 2007, 7, (2) Waser, R.; Aono, M. Nat. Mater. 2007, 6, (3) Strukov, D. B.; Snider, G. S.; Stewart, D. R.; Williams, R. S. Nature 2009, 453, (4) Strukov, D. B.; Williams, R. S. Proc. Natl. Acad. Sci. U.S.A. 2009, 106, (5) Ku geler, C.; Meier, M.; Rosezin, R.; Gilles, S.; Waser, R. Solid- State Electron. 2009, 53, (6) Jo, S. H.; Kim, K.-H.; Lu, W. Nano Lett. 2009, 9, (7) Jo, S. H.; Chang, T.; Ebong, I.; Bhavitavya, B.; Mazumder, P.; Lu, W. Nano Lett. 2010, 10, (8) Borghetti, J.; Li, Z.; Straznicky, J.; Li, X.; Ohlberg, D. A. A.; Wu, W.; Stewart, D. R.; Williams, R. S. Proc. Natl. Acad. Sci. U.S.A. 2009, 106, (9) Xia, Q.; Robinett, W.; Cumbie, M. W.; Banerjee, N.; Cardinali, T. J.; Yang, J. J.; Wu, W.; Li, X.; Tong, W. M.; Strukov, D. B.; Snider, G. S.; Medeiros-Ribeiro, G.; Williams, R. S. Nano Lett. 2009, 9, (10) Yan, H.; Choe, H. S.; Nam, S.; Hu, Y.; Das, S.; Klemic, J. F.; Ellenbogen, J. C.; Lieber, C. M. Nature 2011, 470, (11) Borghetti, J.; Snider, G. S.; Kueke, P. J.; Yang, J. J.; Stewart, D. R.; Williams, R. S. Nature 2010, 464, (12) Lee, M.-J.; Han, S.; Jeon, S. H.; Park, B. H.; Kang, B. S.; Ahn, S.- E.; Kim, K. H.; Lee, C. B.; Kim, C. J.; Yoo, I.-K.; Seo, D. H.; Li, X.-S.; Park, J.-B.; Lee, J.-H.; Park, Y. Nano Lett. 2009, 9, (13) Terabe, K.; Hasegawa, T.; Nakayama, T.; Aono, M. Nature 2005, 433, (14) Jo, S. H.; Lu, W. Nano Lett. 2008, 8, (15) Tran, X. A.; Yu, H. Y.; Yeo, Y. C.; Wu, L.; Liu, W. J.; Wang, Z. R.; Fang, Z.; Pey, K. L.; Sun, X. W.; Du, A. Y.; Nguyen, B. Y.; Li, M. F. IEEE Electron Device Lett. 2011, 32, (16) Lee, M.-J.; Park, Y.; Suh, D.-S.; Lee, E.-H.; Seo, S.; Kim, D.-C.; Jung, R.; Kang, B.-S.; Ahn, S.-E.; Lee, C. B.; Seo, D. H.; Cha, Y.-H.; Yoo, I.-K.; Kim, J.-S.; Park, B. H. Adv. Mater. 2007, 19, (17) Cho, B.; Kim, T.-W.; Song, S.; Ji, Y.; Jo, M.; Hwang, H.; Jung, G.-Y.; Lee, T. Adv. Mater. 2010, 22, (18) Wang, C.-H.; Tsai, Y.-H.; Lin, K.-C.; Chang, M.-F.; King, Y.-C.; Lin, C. J.; Sheu, S.-S.; Chen, Y.-S.; Lee, H.-Y.; Chen, F. T.; Tsai, M.-J. IEEE Trans. Electron Devices 2011, 58, (19) Linn, E.; Rosezin, R.; Ku geler, C.; Waser, R. Nat. Mater. 2010, 9, (20) Lee, M.-J.; Le, C. B.; Lee, D.; Lee, S. R.; Chang, M.; Hur, J. H.; Kim, Y.-B.; Kim, C.-J.; Seo, D. H.; Seo, S.; Chung, U.-I.; Yoo, I.-K.; Kim, K. Nat. Mater. 2011, 10, (21) Kim, K.-H.; Jo, S. H.; Gaba, S.; Lu, W. Appl. Phys. Lett. 2010, 96, (22) Puthentheradam, S. C.; Schroder, D. K.; Kozicki, M. N. Appl. Phys. A: Mater. Sci. Process. 2011, 102, (23) Zuo, Q.; Long, S.; Yang, S.; Liu, Q.; Shao, L.; Wang, Q.; Zhang, S.; Li, Y.; Wang, Y.; Liu, M. IEEE Electron Device Lett. 2010, 31, (24) Huang, J.-J.; Kuo, C.-W.; Chang, W.-C.; Hou, T.-H. Appl. Phys. Lett. 2010, 96, (25) Chua, L. O.; Kang, S. M. Proc.- IEEE 1976, 64, (26) Snider, G. S. IEEE/ACM International Symposium Nanoscale Architectures, Anaheim, CA, June 12-13, 2008; IEEE: New York; pp (27) Jo, S. H.; Kim, K.-H.; Lu, W. Nano Lett. 2009, 9, (28) Russo, U.; Kamalanathan, D.; Ielmini, D.; Lacaita, A. L.; Kozicki, M. N. IEEE Trans. Electron Devices 2009, 56, (29) Wang, Y.; Liu, Q.; Long, S.; Wang, W.; Wang, Q.; Zhang, M.; Zhang, S.; Li, Y.; Zuo, Q.; Yang, J.; Liu, M. Nanotechnology 2010, 21, (30) Bi, G.-Q.; Poo, M.-M. J. Neuroscience 1998, 18, Nano s 395

Conditioned Reflex Mimic Circuit Design

Conditioned Reflex Mimic Circuit Design University of Wisconsin-Madison ECE734 Final Project Spring 2013 Conditioned Reflex Mimic Circuit Design Gengyu Yang 2013.5 Abstract Neural network holds great promise to be the next generation computer

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory

A 10,000 Frames/s 0.18 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Presented at the 2001 International Solid State Circuits Conference February 5, 2001 A 10,000 Frames/s 0.1 µm CMOS Digital Pixel Sensor with Pixel-Level Memory Stuart Kleinfelder, SukHwan Lim, Xinqiao

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Scalus Winter School Storage Systems

Scalus Winter School Storage Systems Scalus Winter School Storage Systems Flash Memory André Brinkmann Flash Memory Floa:ng gate of a flash cell is electrically isolated Applying high voltages between source and drain accelerates electrons

More information

Crossbar Resistive Memory:

Crossbar Resistive Memory: White Paper Crossbar Resistive Memory: The Future Technology for NAND Flash By Hagop Nazarian, Vice President of Engineering and Co-Founder Abstract NAND Flash technology has been serving the storage memory

More information

Yaffs NAND Flash Failure Mitigation

Yaffs NAND Flash Failure Mitigation Yaffs NAND Flash Failure Mitigation Charles Manning 2012-03-07 NAND flash is one of very few types of electronic device which are knowingly shipped with errors and are expected to generate further errors

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes

A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes 1700 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 11, NOVEMBER 2001 A Dual-Mode NAND Flash Memory: 1-Gb Multilevel and High-Performance 512-Mb Single-Level Modes Taehee Cho, Yeong-Taek Lee, Eun-Cheol

More information

Phase Change Memory for Neuromorphic Systems and Applications

Phase Change Memory for Neuromorphic Systems and Applications Phase Change Memory for Neuromorphic Systems and Applications M. Suri 1, O. Bichler 2, D. Querlioz 3, V. Sousa 1, L. Perniola 1, D. Vuillaume 4, C. Gamrat 2, and B. DeSalvo 1 (manan.suri@cea.fr, barbara.desalvo@cea.fr)

More information

Nanocomputer & Architecture

Nanocomputer & Architecture Nanocomputer & Architecture Yingjie Wei Western Michigan University Department of Computer Science CS 603 - Dr. Elise dedonckor Febrary 4 th, 2004 Nanocomputer Architecture Contents Overview of Nanotechnology

More information

Memory Systems. Static Random Access Memory (SRAM) Cell

Memory Systems. Static Random Access Memory (SRAM) Cell Memory Systems This chapter begins the discussion of memory systems from the implementation of a single bit. The architecture of memory chips is then constructed using arrays of bit implementations coupled

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Analysis on the Balanced Class-E Power Amplifier for the Load Mismatch Condition

Analysis on the Balanced Class-E Power Amplifier for the Load Mismatch Condition Analysis on the Class-E Power Amplifier for the Load Mismatch Condition Inoh Jung 1,1, Mincheol Seo 1, Jeongbae Jeon 1, Hyungchul Kim 1, Minwoo Cho 1, Hwiseob Lee 1 and Youngoo Yang 1 Sungkyunkwan University,

More information

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives,

DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, DC/DC BUCK Converter for Renewable Energy Applications Mr.C..Rajeshkumar M.E Power Electronic and Drives, Mr.C.Anandaraj Assistant Professor -EEE Thiruvalluvar college of Engineering And technology, Ponnur

More information

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications A TCS Space & Component Technology White Paper Introduction As with most storage technologies, NAND Flash vendors

More information

Implementation of Buffer Cache Simulator for Hybrid Main Memory and Flash Memory Storages

Implementation of Buffer Cache Simulator for Hybrid Main Memory and Flash Memory Storages Implementation of Buffer Cache Simulator for Hybrid Main Memory and Flash Memory Storages Soohyun Yang and Yeonseung Ryu Department of Computer Engineering, Myongji University Yongin, Gyeonggi-do, Korea

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

SLC vs MLC NAND and The Impact of Technology Scaling. White paper CTWP010

SLC vs MLC NAND and The Impact of Technology Scaling. White paper CTWP010 SLC vs MLC NAND and The mpact of Technology Scaling White paper CTWP010 Cactus Technologies Limited Suite C, 15/F, Capital Trade Center 62 Tsun Yip Street, Kwun Tong Kowloon, Hong Kong Tel: +852-2797-2277

More information

SLC vs MLC: Which is best for high-reliability apps?

SLC vs MLC: Which is best for high-reliability apps? SLC vs MLC: Which is best for high-reliability apps? Here's an examination of trade-offs, with an emphasis on how they affect the reliability of storage targeted at industrial, military and avionic applications.

More information

Interconnection Network of OTA-based FPAA

Interconnection Network of OTA-based FPAA Chapter S Interconnection Network of OTA-based FPAA 5.1 Introduction Aside from CAB components, a number of different interconnect structures have been proposed for FPAAs. The choice of an intercmmcclion

More information

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays J.H. Daniel 1, a, B.S. Krusor 1, N. Chopra 2, R.A. Street 1, P.M. Kazmaier 2, S.E. Ready 1, J.H. Ho 1 1 Palo Alto Research

More information

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

A 1.62/2.7/5.4 Gbps Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 http://dx.doi.org/10.5573/jsts.2013.13.3.185 A 1.62/2.7/5.4 Clock and Data Recovery Circuit for DisplayPort 1.2 with a single VCO

More information

CHARGE pumps are the circuits that used to generate dc

CHARGE pumps are the circuits that used to generate dc INTERNATIONAL JOURNAL OF DESIGN, ANALYSIS AND TOOLS FOR CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JUNE 2011 27 A Charge Pump Circuit by using Voltage-Doubler as Clock Scheme Wen Chang Huang, Jin Chang Cheng,

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits

Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits Bi-directional FlipFET TM MOSFETs for Cell Phone Battery Protection Circuits As presented at PCIM 2001 Authors: *Mark Pavier, *Hazel Schofield, *Tim Sammon, **Aram Arzumanyan, **Ritu Sodhi, **Dan Kinzer

More information

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications

A 1-GSPS CMOS Flash A/D Converter for System-on-Chip Applications A -GSPS CMOS Flash A/D Converter for System-on-Chip Applications Jincheol Yoo, Kyusun Choi, and Ali Tangel Department of Computer Science & Department of Computer & Engineering Communications Engineering

More information

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology

A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology International Journal of Computer Sciences and Engineering Open Access Research Paper Volume-4, Issue-1 E-ISSN: 2347-2693 A Novel Low Power Fault Tolerant Full Adder for Deep Submicron Technology Zahra

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Memristor-Based Reactance-Less Oscillator

Memristor-Based Reactance-Less Oscillator Memristor-Based Reactance-Less Oscillator M. Affan Zidan, Hesham Omran, A. G. Radwan and K. N. Salama In this letter, the first reactance-less oscillator is introduced. By using memristor, the oscillator

More information

Evaluating AC Current Sensor Options for Power Delivery Systems

Evaluating AC Current Sensor Options for Power Delivery Systems Evaluating AC Current Sensor Options for Power Delivery Systems State-of-the-art isolated ac current sensors based on CMOS technology can increase efficiency, performance and reliability compared to legacy

More information

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage

Highly Scalable NAND Flash Memory Cell Design Embracing Backside Charge Storage JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.286 ISSN(Online) 2233-4866 Highly Scalable NAND Flash Memory Cell

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Bridgeless PFC Implementation Using One Cycle Control Technique

Bridgeless PFC Implementation Using One Cycle Control Technique Bridgeless PFC Implementation Using One Cycle Control Technique Bing Lu Center for Power Electronics Systems Virginia Polytechnic Institute and State University 674 Whittemore Hall Blacksburg, VA 24061

More information

Implementation of High Step-Up Solar Power Optimizer for DC Micro Grid Application

Implementation of High Step-Up Solar Power Optimizer for DC Micro Grid Application Implementation of High tepup olar Power Optimizer for C Micro Grid Application hihming Chen, KeRen Hu, TsorngJuu Liang, and YiHsun Hsieh Advanced Optoelectronic Technology Center epartment of Electrical

More information

Phase Balancing of Distribution Systems Using a Heuristic Search Approach

Phase Balancing of Distribution Systems Using a Heuristic Search Approach Phase Balancing of Distribution Systems Using a Heuristic Search Approach Lin, Chia-Hung*, Kang, Meei-Song**, Chuang, Hui-Jen**, and Ho, Chin-Ying** *National Kaohsiung University of Applied Sciences **Kao

More information

Unit/Standard Number. High School Graduation Years 2010, 2011 and 2012

Unit/Standard Number. High School Graduation Years 2010, 2011 and 2012 1 Secondary Task List 100 SAFETY 101 Demonstrate an understanding of State and School safety regulations. 102 Practice safety techniques for electronics work. 103 Demonstrate an understanding of proper

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.7 4.7 A 2.7 Gb/s CDMA-Interconnect Transceiver Chip Set with Multi-Level Signal Data Recovery for Re-configurable VLSI Systems

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 5 Memory-I Version 2 EE IIT, Kharagpur 2 Instructional Objectives After going through this lesson the student would Pre-Requisite

More information

BER-Based Wear Leveling and Bad Block Management for NAND Flash

BER-Based Wear Leveling and Bad Block Management for NAND Flash BER-Based Wear Leveling and Bad Block Management for NAND Flash Borja Peleato, Haleh Tabrizi, Rajiv Agarwal, Jeffrey Ferreira Electrical and Computer Engineering, Purdue University bpeleato@purdueedu DSSD,

More information

Electronics. Discrete assembly of an operational amplifier as a transistor circuit. LD Physics Leaflets P4.2.1.1

Electronics. Discrete assembly of an operational amplifier as a transistor circuit. LD Physics Leaflets P4.2.1.1 Electronics Operational Amplifier Internal design of an operational amplifier LD Physics Leaflets Discrete assembly of an operational amplifier as a transistor circuit P4.2.1.1 Objects of the experiment

More information

Automation System TROVIS 6400 TROVIS 6493 Compact Controller

Automation System TROVIS 6400 TROVIS 6493 Compact Controller Automation System TROVIS 6400 TROVIS 6493 Compact Controller For panel mounting (front frame 48 x 96 mm/1.89 x 3.78 inch) Application Digital controller to automate industrial and process plants for general

More information

CAT28C64B F R E E. 64K-Bit CMOS PARALLEL EEPROM L E A D FEATURES DESCRIPTION BLOCK DIAGRAM

CAT28C64B F R E E. 64K-Bit CMOS PARALLEL EEPROM L E A D FEATURES DESCRIPTION BLOCK DIAGRAM 64K-Bit CMOS PARALLEL EEPROM FEATURES Fast read access times: 90/120/150ns Low power CMOS dissipation: Active: 25 ma max. Standby: 100 µa max. Simple write operation: On-chip address and data latches Self-timed

More information

A true low voltage class-ab current mirror

A true low voltage class-ab current mirror A true low voltage class-ab current mirror A. Torralba, 1a) R. G. Carvajal, 1 M. Jiménez, 1 F. Muñoz, 1 and J. Ramírez-Angulo 2 1 Departamento de Ingeniería Electrónica, Escuela Superior de Ingenieros,

More information

IN current film media, the increase in areal density has

IN current film media, the increase in areal density has IEEE TRANSACTIONS ON MAGNETICS, VOL. 44, NO. 1, JANUARY 2008 193 A New Read Channel Model for Patterned Media Storage Seyhan Karakulak, Paul H. Siegel, Fellow, IEEE, Jack K. Wolf, Life Fellow, IEEE, and

More information

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7

ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 ISSCC 2003 / SESSION 13 / 40Gb/s COMMUNICATION ICS / PAPER 13.7 13.7 A 40Gb/s Clock and Data Recovery Circuit in 0.18µm CMOS Technology Jri Lee, Behzad Razavi University of California, Los Angeles, CA

More information

Fundamentals of Microelectronics

Fundamentals of Microelectronics Fundamentals of Microelectronics CH1 Why Microelectronics? CH2 Basic Physics of Semiconductors CH3 Diode Circuits CH4 Physics of Bipolar Transistors CH5 Bipolar Amplifiers CH6 Physics of MOS Transistors

More information

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules Abstract J.L. Crozier, E.E. van Dyk, F.J. Vorster Nelson Mandela Metropolitan University Electroluminescence (EL) is a useful

More information

Bi-directional level shifter for I²C-bus and other systems.

Bi-directional level shifter for I²C-bus and other systems. APPLICATION NOTE Bi-directional level shifter for I²C-bus and other Abstract With a single MOS-FET a bi-directional level shifter circuit can be realised to connect devices with different supply voltages

More information

Application Note AN-940

Application Note AN-940 Application Note AN-940 How P-Channel MOSFETs Can Simplify Your Circuit Table of Contents Page 1. Basic Characteristics of P-Channel HEXFET Power MOSFETs...1 2. Grounded Loads...1 3. Totem Pole Switching

More information

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Trieste INFN/TC-2/7 1 aprile 22 A novel scheme for the integrated voltage divider of Silicon Drift Detectors P. Burger 1, C. Piemonte 2, A. Rashevsky 3,

More information

Figure 1. Diode circuit model

Figure 1. Diode circuit model Semiconductor Devices Non-linear Devices Diodes Introduction. The diode is two terminal non linear device whose I-V characteristic besides exhibiting non-linear behavior is also polarity dependent. The

More information

38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 A

38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 A 38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 A Common features Instant ejection of relay by plastic retaining clip Integral coil indication and protection circuit EMR Electromechanical Relays

More information

Teaching a Cross-Disciplinary Nanocomputing Systems Course

Teaching a Cross-Disciplinary Nanocomputing Systems Course Teaching a Cross-Disciplinary Nanocomputing Systems Course Waleed K. Al-Assadi 1 and Aurangzeb Khan 2 Abstract- The end of photolithography as the driver for Moore s Law is predicted within few years and

More information

Experiment teaching of digital electronic technology using Multisim 12.0

Experiment teaching of digital electronic technology using Multisim 12.0 World Transactions on Engineering and Technology Education Vol.12, No.1, 2014 2014 WIETE Experiment teaching of digital electronic technology using Multisim 12.0 Qiu-xia Liu Heze University Heze, Shandong,

More information

CMOS, the Ideal Logic Family

CMOS, the Ideal Logic Family CMOS, the Ideal Logic Family INTRODUCTION Let s talk about the characteristics of an ideal logic family. It should dissipate no power, have zero propagation delay, controlled rise and fall times, and have

More information

Embedded STT-MRAM for Mobile Applications:

Embedded STT-MRAM for Mobile Applications: Embedded STT-MRAM for Mobile Applications: Enabling Advanced Chip Architectures Seung H. Kang Qualcomm Inc. Acknowledgments I appreciate valuable contributions and supports from Kangho Lee, Xiaochun Zhu,

More information

Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis

Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis Error Patterns in MLC NAND Flash Memory: Measurement, Characterization, and Analysis Yu Cai 1, Erich F. Haratsch 2, Onur Mutlu 1 and Ken Mai 1 1 Department of Electrical and Computer Engineering, Carnegie

More information

Optimization of PID parameters with an improved simplex PSO

Optimization of PID parameters with an improved simplex PSO Li et al. Journal of Inequalities and Applications (2015) 2015:325 DOI 10.1186/s13660-015-0785-2 R E S E A R C H Open Access Optimization of PID parameters with an improved simplex PSO Ji-min Li 1, Yeong-Cheng

More information

Programming NAND devices

Programming NAND devices Technical Guide Programming NAND devices Kelly Hirsch, Director of Advanced Technology, Data I/O Corporation Recent Design Trends In the past, embedded system designs have used NAND devices for storing

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

CAVE: Channel-Aware Buffer Management Scheme for Solid State Disk

CAVE: Channel-Aware Buffer Management Scheme for Solid State Disk CAVE: Channel-Aware Buffer Management Scheme for Solid State Disk Sung Kyu Park, Youngwoo Park, Gyudong Shim, and Kyu Ho Park Korea Advanced Institute of Science and Technology (KAIST) 305-701, Guseong-dong,

More information

Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article

Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article HIGH PERFORMANCE FLASH STORAGE SYSTEM BASED ON VIRTUAL MEMORY AND WRITE BUFFER Anbuselvi

More information

A New Chapter for System Designs Using NAND Flash Memory

A New Chapter for System Designs Using NAND Flash Memory A New Chapter for System Designs Using Memory Jim Cooke Senior Technical Marketing Manager Micron Technology, Inc December 27, 2010 Trends and Complexities trends have been on the rise since was first

More information

1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded

1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded 1 Gbit, 2 Gbit, 4 Gbit, 3 V SLC NAND Flash For Embedded Distinctive Characteristics Density 1 Gbit / 2 Gbit / 4 Gbit Architecture Input / Output Bus Width: 8-bits / 16-bits Page Size: x8 = 2112 (2048 +

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier

(Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (Amplifying) Photo Detectors: Avalanche Photodiodes Silicon Photomultiplier (no PiN and pinned Diodes) Peter Fischer P. Fischer, ziti, Uni Heidelberg, Seite 1 Overview Reminder: Classical Photomultiplier

More information

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN

TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN TRUE SINGLE PHASE CLOCKING BASED FLIP-FLOP DESIGN USING DIFFERENT FOUNDRIES Priyanka Sharma 1 and Rajesh Mehra 2 1 ME student, Department of E.C.E, NITTTR, Chandigarh, India 2 Associate Professor, Department

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Memory. The memory types currently in common usage are:

Memory. The memory types currently in common usage are: ory ory is the third key component of a microprocessor-based system (besides the CPU and I/O devices). More specifically, the primary storage directly addressed by the CPU is referred to as main memory

More information

數 位 積 體 電 路 Digital Integrated Circuits

數 位 積 體 電 路 Digital Integrated Circuits IEE5049 - Spring 2012 數 位 積 體 電 路 Digital Integrated Circuits Course Overview Professor Wei Hwang 黃 威 教 授 Department of Electronics Engineering National Chiao Tung University hwang@mail.nctu.edu.tw Wei

More information

A bidirectional DC-DC converter for renewable energy systems

A bidirectional DC-DC converter for renewable energy systems BULLETIN OF THE POLISH ACADEMY OF SCIENCES TECHNICAL SCIENCES Vol. 57, No. 4, 2009 A bidirectional DC-DC converter for renewable energy systems S. JALBRZYKOWSKI, and T. CITKO Faculty of Electrical Engineering,

More information

Influence of the Socket on Chip-level ESD Testing

Influence of the Socket on Chip-level ESD Testing 266 PIERS Proceedings, Guangzhou, China, August 25 28, 2014 Influence of the Socket on Chip-level ESD Testing Yu Xiao 1, Jiancheng Li 2, Jianfei Wu 2, Yunzhi Kang 3, and Jianwei Su 1 1 P. O. Box 9010,

More information

Research on the UHF RFID Channel Coding Technology based on Simulink

Research on the UHF RFID Channel Coding Technology based on Simulink Vol. 6, No. 7, 015 Research on the UHF RFID Channel Coding Technology based on Simulink Changzhi Wang Shanghai 0160, China Zhicai Shi* Shanghai 0160, China Dai Jian Shanghai 0160, China Li Meng Shanghai

More information

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan.

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. An Advanced Behavioral Buffer Model With Over-Clocking Solution Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. 31, 2014 Agenda 1. SPICE Model and Behavioral Buffer Model 2. Over-Clocking

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS

LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS LAB 7 MOSFET CHARACTERISTICS AND APPLICATIONS Objective In this experiment you will study the i-v characteristics of an MOS transistor. You will use the MOSFET as a variable resistor and as a switch. BACKGROUND

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/20 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Ziegler-Nichols-Based Intelligent Fuzzy PID Controller Design for Antenna Tracking System

Ziegler-Nichols-Based Intelligent Fuzzy PID Controller Design for Antenna Tracking System Ziegler-Nichols-Based Intelligent Fuzzy PID Controller Design for Antenna Tracking System Po-Kuang Chang, Jium-Ming Lin Member, IAENG, and Kun-Tai Cho Abstract This research is to augment the intelligent

More information

The Shortcut Guide to Balancing Storage Costs and Performance with Hybrid Storage

The Shortcut Guide to Balancing Storage Costs and Performance with Hybrid Storage The Shortcut Guide to Balancing Storage Costs and Performance with Hybrid Storage sponsored by Dan Sullivan Chapter 1: Advantages of Hybrid Storage... 1 Overview of Flash Deployment in Hybrid Storage Systems...

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

An Open Architecture through Nanocomputing

An Open Architecture through Nanocomputing 2009 International Symposium on Computing, Communication, and Control (ISCCC 2009) Proc.of CSIT vol.1 (2011) (2011) IACSIT Press, Singapore An Open Architecture through Nanocomputing Joby Joseph1and A.

More information

38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 - 16 A

38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 - 16 A 38 Series - Relay interface modules 0.1-2 - 3-5 - 6-8 - 16 A 38 SERIES Common features Instant ejection of relay by plastic retaining clip Integral coil indication and protection circuit EMR Electromechanical

More information

High Intensify Interleaved Converter for Renewable Energy Resources

High Intensify Interleaved Converter for Renewable Energy Resources High Intensify Interleaved Converter for Renewable Energy Resources K. Muthiah 1, S.Manivel 2, Gowthaman.N 3 1 PG Scholar, Jay Shriram Group of Institutions,Tirupur 2 Assistant Professor, Jay Shriram Group

More information

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251

Gates. J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, TX 77251 Gates J. Robert Jump Department of Electrical And Computer Engineering Rice University Houston, T 77251 1. The Evolution of Electronic Digital Devices...1 2. Logical Operations and the Behavior of Gates...2

More information

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University

ANN Based Modeling of High Speed IC Interconnects. Q.J. Zhang, Carleton University ANN Based Modeling of High Speed IC Interconnects Needs for Repeated Simulation Signal integrity optimization Iterative design and re-optimization Monte-Carlo analysis Yield optimization Iterative design

More information

The accurate calibration of all detectors is crucial for the subsequent data

The accurate calibration of all detectors is crucial for the subsequent data Chapter 4 Calibration The accurate calibration of all detectors is crucial for the subsequent data analysis. The stability of the gain and offset for energy and time calibration of all detectors involved

More information

OLED into Mobile Main Display

OLED into Mobile Main Display OLED into Mobile Main Display Author: Jack Tsang Title: Senior Product Marketing Engineer Company: Solomon Systech Limited Introduction A decade after the Electro-luminescent (EL) effect was first discovered,

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Small Signal Analysis of a PMOS transistor Consider the following PMOS transistor to be in saturation. Then, 1 2

Small Signal Analysis of a PMOS transistor Consider the following PMOS transistor to be in saturation. Then, 1 2 Small Signal Analysis of a PMOS transistor Consider the following PMOS transistor to be in saturation. Then, 1 I SD = µ pcox( VSG Vtp)^2(1 + VSDλ) 2 From this equation it is evident that I SD is a function

More information

Application Note AN- 1118

Application Note AN- 1118 Application Note AN- 111 IR331x : urrent Sensing High Side Switch P3 By David Jacquinod Table of ontents Page Introduction... 2 Inner Architecture... 2 Reverse Battery Protection... 2 Wait function...

More information

Data Storage Time Sensitive ECC Schemes for MLC NAND Flash Memories

Data Storage Time Sensitive ECC Schemes for MLC NAND Flash Memories Data Storage Time Sensitive ECC Schemes for MLC NAND Flash Memories C. Yang, D. Muckatira, A. Kulkarni, C. Chakrabarti School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe,

More information

IN RECENT YEARS, the increase of data transmission over

IN RECENT YEARS, the increase of data transmission over 1356 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 8, AUGUST 2004 A 3.125-Gb/s Clock and Data Recovery Circuit for the 10-Gbase-LX4 Ethernet Rong-Jyi Yang, Student Member, IEEE, Shang-Ping Chen, and

More information

Performance of networks containing both MaxNet and SumNet links

Performance of networks containing both MaxNet and SumNet links Performance of networks containing both MaxNet and SumNet links Lachlan L. H. Andrew and Bartek P. Wydrowski Abstract Both MaxNet and SumNet are distributed congestion control architectures suitable for

More information