March 12, 2013 Dr. Alexander Tetelbaum. Design Automation

Size: px
Start display at page:

Download "March 12, 2013 Dr. Alexander Tetelbaum. Design Automation"

Transcription

1 March 12, 2013 Dr. Alexander Tetelbaum Design Automation

2 Variations and Timing Signoff become even more important for 20nm node & below because more: Variation sources and their magnitude Complex designs & technologies Current (conventional/commercial) methods for timing derating (OCV, AOCV, LOCV, POCV, and SSTAs) represent an improvement vs. initial OCV method, but they have their limitations It is important to improve timing derating: To avoid missing violations and reduce pessimism And without introducing new STA tools and changing main steps of signoff flow Main goals of this presentation are: Show possible risk factors & inaccuracies in derating methods Investigate new Abelite technology to enhance timing analysis Develop ways to rapidly obtain timing estimates at additional PVT/RC/SPEF corners without re-running Extraction and STA tools Develop new Standard Timing Format for using new tools within current flow 2

3 All methods that will be presented: Are complementary to Cadence s Encounter Timing System (ETS) and Synopsys PrimeTime (PT) tools/flows and allow improving accuracy of timing & overcoming current limitations Use the original STA timing report as an input May find missed violations & improve design metrics (performance, timing yield, etc.) & reduce Turn-Around-Time Introduce proper not-pessimistic statistical derating that covers all sources of variations & EDA tool/libraries errors New Abelite timing technology: Uses path-driven signoff paradigm Is pseudo-statistical or statistical by nature Separates cell, wire and via variations Takes into account: The number of timing critical paths Correlations within and between variation sources Aging degradation, dynamic crosstalk effects, etc. 3

4

5 Comparison of Time Derating Methods (cont.) Aspe ct Derate Method Conventional STA OCV One global margin AOCV LOCV Margins Table POCV One stage margin Pseudo-stat on all paths AT- AT-True Rite EAOCV Pseudo-stat on given paths Handling Correlations N N N Y- (simplified) Y- Y Y+ Aging Degradation N Y- N N Y- Y Y+ Handling Complex Cells N N N Y Y Y Y Statistical Crosstalk N N N N Y- Y Y+ DPT Variation N N N N N Y Y+ FinFET Variations N N N Y N Y Y+ Signoff Flow Management N N N N N Y Y AT- Stat Monte Carlo Tools/Libraries Inaccuracies N N N N Y- Y Y Variation Information Volume L M L H+ M M+ H- Flexible EP Margin N N N N Y Y Y Timing Yield Estimation N N N Y-(@corner) N N Y Stats & Report Summaries N N N Y- (limited) Y- Y Y+ SSTA Abelite Tools Reusing One SPEF Extraction N N N N N Y Y STF (Standard Timing Format) N N N N Y Y Y 5

6 STA derating methods do not differentiate between sources of variation SSTA tools mostly take into account local variations in transistor process at one global corner Correlations are practically ignored or very simplified methods are used Very limited support in determination of derates Conventional STA/SSTA Are unique & take into account all sources of global & local variations in: Transistor process including FinFET Voltage (supply, static, and dynamic) Temperature in cells (including T- inversion), wires & vias Geometry properties in wires & vias: Width, Height & Dielectric Thickness Double Pattern Technology (DPT) that varies wire spacing Inaccuracies in EDA tools (Extraction, 3D FinFET, Delay Calculation & Libraries vs. Silicon) Dynamic crosstalk delay variations Aging degradation, etc. Abelite Tools 6

7 Accuracy may be not high enough if paths structures/properties differ from the method s assumptions It may lead to: Optimism in timing (risk factor) & even to silicon failure Pessimism that worsens all design metrics Conventional STA/SSTA Tools Find all real timing violations & it s a must Decrease pessimism as a crucial task that improves: TAT Costs Design metrics Abelite Tools 7

8 Ignoring: Correlations between cells, wires & vias Number of timing critical paths Handling crosstalk & some other dynamic effects in a conservative static way Handling DPT in a conservative static way Simplified or not-justified methods of finding margins & there is no serious support from manufacture and EDA vendors Not properly separating delay variations in cells, wires & vias Using the same derating tables: For setup & hold checks to minimize runtime (no separate STA runs) For different PVT & RC/Via corners (usual case due to challenges in finding & supporting multiple derate tables) Not-uniformly distributed stage delays in paths Presence of complex or hierarchical cells with: Internal paths with depths more than one Internal built-in OCV margin 8

9 SSTAs Do Not Solve All Problems These tools take into account only some local variations around given global corner Mainly transistor process OCV Their other drawbacks include: High inaccuracy in modeling by using approximate pseudostatistical methods & simplifications Signoff at multiple PVT/RC global corners still required STA traditional signoff still required Ignoring real correlations Expensive libraries characterization, increased runtime and disc-space Ignoring many variation sources Results are not easy to interpret Ignoring the above issues may lead to problems including silicon failure 9

10 Limitations and drawbacks may lead to optimistic timing & silicon failure Derating Tables assume some bad rare scenarios in paths: They are still not the worst possible scenarios in order to avoid too much pessimism for the rest of paths Those few really worst paths are still at risk because they are optimistically estimated Ignoring number of critical paths Examples: (1) ~39% hold slacks were under-estimated by PT/AOCV in design #1: a relatively big risk factor (2) ~1% paths with hold violations were overlooked by PT/AOCV in this design Conventional STA/SSTA Tools Hold violations are the most important & must be never missed Setup violations must be not underestimated or missed: Less yield Failure to meet spec F Abelite Tools 10

11 Place and Route tools do not separately balance cell & net delays in clocks It may lead to problems. Example of a problem with bad path structure: Launch is fully net delay dominated & capture is fully cell delay dominated Signoff at many PVT/RC corners is needed to avoid silicon failure AOCV tables cannot take into account inter-clock correlation properly & it may lead to optimism Missed violation in the Example: - Traditional Setup check is at Slow Process corner including V min & different Temperatures & RC-models -If we add corners with untraditional V max, then capture will become faster (up to 20-30%) & it means that we have overlooked a serious violation. There are other dangerous situations for hold & setup: Conventional STA/SSTA Tools 11

12 Have very limited delay scaling capabilities Usually only for voltage & within ±10% of the corner Multiple detailed libraries must be characterized Conventional STA/SSTA Tools Have fast, accurate (Error<10%) & powerful scaling Scale cell, wire, via & xtalk delays from given PVT/RC corner to all other corners Do express delay analysis across all PVT/RC space One SPEF is scaled and reused for any corner temperature w/ Error<0.7% Monte Carlo timer (at_stat) uses fast scaling across the whole variation space Abelite Tools 12

13 Signoff is performed at multiple Global PVT/RC/Via corners It is important & not trivial to find minimum corner number Conventional tools do not support corner minimization Even considering only extreme points per a factor produces 64 corners The more factors are included into corner, the more pessimistic signoff is More corners needed for advanced technologies & new phenomena: Aging degradation Multi-voltage domains combinations Over- & under-drive voltages DPT & FinFET Do not need most of these corners Find each path-structure/-case: There are only limited number of them Each path-case can be analyzed by using corresponding {corners}, but not more than 3 for each timing check Companies use ever increasing corners number (from 8 to 128) Conventional STA/SSTA Tools Find needed additional corners for each critical path Abelite Tools 13

14 Illustration: One Path & Its Slack Variations Abelite technology finds all potential violators during one tool run: - Any degree of confidence can be achieved - Optional follow up STA or Abelite runs (for found violators) may refine results - Inaccuracy in scaling to extreme PVT/RC corner is not critical 14

15 Illustration, cont. Nominal Area of PVT/RC Space requires the highest timing accuracy Peripheral Area of Space does not require high timing accuracy because: ~ σ (1) Small probability of all dies in this extreme & rare area (2) Natural fussiness in this area 15

16 Variation Space Die In (at Global Corner Co ): - Co may be not Nominal - Analysis may be repeated for different corners in Die samples (local variations) around given corner Co = One die sample is a set of path samples in die generated by Monte Carlo & modeling local OCV 16

17 Die In (at Global Corner Co ) Global & Local Variations around Input corner Co Die sample at a New Global Corner C generated by Monte Carlo with Local variations around C 17

18 Die In (at Global Corner Co; there may be several corners in) Die Sample at New Global Corner C scaled from Input corner Co & generated by Monte Carlo. It includes Local variations (generated by Monte Carlo) around Global Corner C 18

19 Die In (at Nominal Global Corner Co) Die Sample at New Global Corner C scaled from Input corner Co It includes Local variations around Global Corner C 19

20 Voltage V V_max V_nom P Process sigma Animation timing is close to Real tool runtime for a design with ~30 timing critical paths V_min 20

21 Current methods: All timing violations must be fixed Yet, it does not prevent a silicon failure & design respin: It is true even with ever increasing derates Conventional STA/SSTA Tools Do not consider each path with a negative slack (at some corner) as a violator: It may not mean zero or unacceptable timing yield Not all violations must be fixed Path criticality and estimated timing yield Y for the design across all corners must be taken into account to make decision if the violation must be fixed or not It minimizes TTM and design costs Abelite Tools 21

22 Designs often have uncorrelated V-domains V-domains may have any combinations of min/max voltages & between Considering all V-combinations may be expensive & not supported automatically Using timing derates is risky & pessimistic Partially correlated V-domains are not supported Current methods are conservative for GA & based on WC-scenarios (even not-realistic) Conventional STA/SSTA Tools Have modes for: Fast finding worst slack V- combination w/o considering all combinations Scaling V-domains to any PVT/RC/Via corner Automatically exercising all V-combinations (for verification) Can handle partially correlated V-Domains Monte Carlo engine explores PVT/RC space with random combinations of voltages in V-domains Abelite Tools 22

23 STA runtime is significant but has recently improved Runtime & memory required are much more for SSTA tools Reason: A need to investigate all paths in design Conventional STA/SSTA Tools Memory requirement is one magnitude less Runtime is small: 500-2,500 paths per 1 minute (at_true): Reason: New methods used & analyzing only given set of timing critical paths after STA run at_stat runtime is more & proportional to global space size Runtime will be faster with multi-threading or parallel processing Abelite Tools 23

24 Pessimism comes from: Extreme-corner definition / characterization & Extremecase methodology: Corners & Derate Tables assume some bad but rare scenarios in paths and Typical paths will be pessimistically estimated & overdesigned Statically combining cell, wire and via corners Using unjustified conservative margins Adding static conservative xtalk & aging degradation Ignoring internal depth & build-in margin in complex cells Conventional STA/SSTA Tools Solve most problems using new paradigms in handling variations: Statistical methods Matching corner confidence to individual stage situation: Typical stage does not need 5-10 sigma confidence Handle cell, wires and vias separately Use new models and methods Abelite Tools 24

25 Pessimism: AT_True vs. PT/AOCV 3 Test-designs (#Critical paths): C1 (100), C2 (300), C3 (500) 25

26 STA: Cell, wire & via delay variations are just summed together into stage variation No clear separation between cells, wires & vias, which are not correlated for most factors No SSTA tool that considers wire & via variations Conventional STA/SSTA Tools Explicitly separate cells, wires & vias Consider global & local variations Use new models that describe wire/via variations as functions of geometry properties & temperature Consider correlations within & between variation factors Abelite Tools 26

27 Wire Process Factors Adjacent Layer Wires Adjacent Layer Wires Go-Wo Left Wire Go Wo Wire Lo Right Wire Ho Go-W+S Left Wire W Wire L Go-W-S Right Wire H Adjacent Layer Wires Adjacent Layer Wires Typical Wire Process Wire Process with variations Right Wire at layer i Wire at Layer i C2i Left Wire at layer i C1j Wire at layer j C2j Left Wire at layer j C3i Wire at layer i C1i Right Wire at layer j C3j Wire continues at Layer j 27

28 Via Process Factors Left Wire Left Wire Wire Go-Wo Wo Via Wire Go-Wo Go Wo Wire Go-Wo-dW Wo+dW Via Wire Go-Wo+dW+S Go+S Wo+dW Right Wire Right Wire Wo Wo Layer i Wire Ho Layer i Wire Ho Dielectric Via Do Lo Dielectric Via Do Lo Wire Layer i-1 Wire Layer i-1 Typical Via Process Process with variations 28

29 Current derating ignores Number of timing critical paths N CR, which actually may require an increased confidence level: Up to 4-5 sigma May lead to optimism Conventional STA Tools Achieve requested (by the user) confidence level (like 3 sigma) for the whole design: Not just for one path This confidence level is a function of N CR If design has more critical paths, the timing yield is decreasing & failure risk is increasing because a violation only in one path is enough for failure Abelite Tools 29

30 Assumption: Stage delays are about the same (uniform stage delay distribution): Then using LOCV/AOCV derate tables may be OK If the above is not true, total path derate becomes optimistic. Example: 1. Path with Depth =12 & Stage variation of 18% 2. aseline Path is uniformed 3. Real path has a not-uniform distribution: One stage S1 has more delay than average cell delay. Delay Ratio = Delay(S1) / Path delay Conventional STA Tools Possible Optimism for the Example Handle paths with any cell/wire/via delay distribution by using new enhanced derating Abelite Tools 30

31 Aging Degradation (AD) increases cell delays during microchip life time caused by NTI, HCI, TI & PTI phenomena Signoff without taking into account AD introduces risk of: Setup violations before design End-Of- Life (EOL) & Hold violations when slowdown in capture is more than in launch Conventional tools do not directly support AD: Additionally, e.g., at a slow corner it is not enough to derate up all delays or use EOL libraries. There may be such path structures where setup violations happen at eginning-of-life (OF) & derating delays up will mask violations. AD-solver automatically selects OL or EOF model for each path allowing different Effective Stress (ES) for data & clocks & timing checks: No other STA/SSTA tool has such a solver & users will need 2x more signoff corners or use even more conservative margins, or accept additional risk & less yield AD-solver does it for the input corner & any additional target corners Conventional STA/SSTA Tools Abelite Tools 31

32 Use extreme scenarios to create worst-cases for DP/CLK & timing checks: 100% correlation within path 0% correlation between paths Have no support on correlation between clocks in derate tables: Tables take into account clock distances only. If we assume: WC clocks location during AOCV table generation, it will lead to pessimism C clocks location, it will lead to optimism There is no way to describe distance between clocks & provide inter-clock derate tables Handle all correlations within each & between variation factors: Ignoring correlated variations leads to timing inaccuracy Have special characterization & modeling Estimate correlations as functions of distance & location Model cell, wire & via delay variations induced by the same correlated factor (like temperature T) Conventional STA/SSTA Tools Abelite Tools 32

33 Examples of Correlations... C C V_net V_cell ρ_net ρ_cell V_net V_cell C C Not All Correlations Are Shown e D Dk {v1_n, v2_n,, vm_n} ρm_net=f(d,dm_n)... ρ2_net =f(d,d2_n) ρ1_net =f(d,d1_n) {v1_n, v2_n,, vm_n} Each variation k has its own distance constant Dk {v1_c, v2_c,,vn_c} ρn_cell =f(d,dn_c)... {v1_c, v2_c,,vn_c} ρ2_cell =f(d,d2_c) ρ1_cell =f(d,d1_c) 33

34 Complex cells have internal I/O paths with depth N > 1: Different internal paths usually have different depths Ignoring N leads to extra derating Manual specification of all depths is tedious & not supported Complex cells often have a built-in margin: E.g., memories usually have internal margin that takes into account some (not all) variations STAs are usually not aware of it It will lead to double margining The issues are not completely supported Calculate internal depth N automatically for each path in complex cells Statistically calculate derates taking into account N Automatically take into account internal build-in margin Proper handling of complex cells: Minimizes pessimism Improves accuracy Simplifies settings for STA Conventional STA/SSTA Tools Abelite Tools 34

35 Assume WC signal alignment for all victims & aggressors in all stages Are pessimistic for many paths, because such WC scenario may occur very rarely (once in 1, 2... or more years of chip life time) Ignore Factors such as the time to failure (TTF), design frequency F, the number of paths with crosstalk & realistic probabilities of crosstalk impact Are pessimistic in several paths as a result Take into account all mentioned Factors & consider crosstalk as a dynamic effect Use new statistical & less conservative methods: Fast methods Deliver requested confidence Conventional STA/SSTA Tools Abelite Tools 35

36 Use increased number of RCcorners or Use the same 5 RC-corners but with statically increased OCV values Conventional STA/SSTA Tools Allow using new DPT RC-corners, but introduce proper library credits to take into account rareness of these extreme situations Allow use non-dpt RCcorners with proper statistical increase in local wire space variations Abelite Tools 36

37 The same scripts for multiple corners for all paths Minimal support on: Checking settings History of signoff Design summaries No support on: Finding additional corners to run Conventional STA/SSTA Tools Use path-driven signoff: Minimal initial number of corners & finding new additional corners for each risky path Do checking of settings Report settings changes between runs Generate important path/design summaries Support regressions Abelite Tools 37

38 Tools, data, methodology & design flows are not perfect Signoff tools (Extraction, Spice, STA, SSTA, etc.) & Libraries are not 100% accurate These inaccuracies are significant No direct support on their characterization & taking into account Ignoring these inaccuracies is a significant risk factor Conventional STA/SSTA Tools Incorporate tools/libraries/flow inaccuracies (errors) into derates to prevent optimism in timing: Errors may be pure random, correlated, notcentered & have not normal distribution Consider errors as a type of variations and handle them properly Abelite Tools 38

39 STA: Relatively small amount of variations characterization to build derate tables, but with minimal support SSTA: 10-20x more volume of libraries and characterization Conventional STA/SSTA Tools Use new statistical methods allowing to significantly minimize characterization volume w/o adding too much error to slack: Rare corners do not need high characterization accuracy & many points 25% accuracy in characterization causes in average 0.8% error in slack Abelite Tools 39

40 Use constant End Point (EP) margin for all paths May be different for: Setup Hold Individual path margin may be provided by user, but it is a tedious process & values are usually unknown Allow setup EP margin to be different for different clock domains Determine margins automatically New slack margin can be useful to find all risky paths during initial screening: Applied at one (usually nominal) PVT/RC corner Finds potential violators across all PVT/RC space Much faster than running corners Conventional STA/SSTA Tools Abelite Tools 40

41 Timing reports are not standardized, difficult to read & analyze Reports do not have all important information It complicates using such reports by other timing signoff tools in order to improve, correct or statistically process reported data Conventional STA/SSTA Tools Use a new Standard Timing Format (STF) for reporting & exchanging timing info between tools. STF: Is additional to current timing reports Includes all important information Is structured Has minimum size May become an industry standard Prototype of STF is available Abelite Tools 41

42 42

43 Use Corner-Driven Paradigm Have drawbacks that increase a risk of silicon failure & diminish design metrics Are time-consuming due to ever increasing corner numbers & rare occurrence of most corners: Considering all conceivable corners & spending most time on analyzing very unlikely corners at the expense of accurate analysis of realistic & important corners All paths are treated in the same manner & most time is wasted on analyzing paths at corners where they never fail A few really vulnerable paths may be not analyzed at needed & relevant corners Confidence level of timing is not consistent in different stages and may too conservative (up to 10 sigma for typical stages: P ~ ) Use simplified timing derating methods (OCV, AOCV, LOCV, POCV, etc.) in commercial tools 43

44 Statistical STA tools use Fixed-Global-Corner Paradigm SSTA tools address some issues, but are not panacea: Not truly statistical (approximate, not Monte Carlo methods) Perform only local variation analysis at a given global corner Take into account mainly transistor process variations, even though there are multiple other factors Handle interconnect statically even though interconnect delay may be greater than cell delay Handle correlations simplistically Conclusion on Current STA/SSTA Tools: May cause failure in few paths & a lot of pessimism in the rest of paths Require significant time for libraries characterization, running & fixing issues (including false) at multiple corners 44

45 1 st Paradigm: Path-driven signoff: Corners are auto-selected for each timing critical path High accuracy of all timing estimations by using advanced statistical methods for each critical path 2 nd Paradigm: Stage-based signoff: Equalizing risk at individual stages: Corner (library) confidence level C is estimated for each stage & derating is adjusted to have the same required confidence level K in all stages 45

46 Design resources & time are limited & must be spent on critical paths: If designers are fixing false violations, it makes more difficult to fix real problems or improve design metrics Performing timing signoff at whole variation space rather than at multiple rare corners Using powerful delay scaling in variation space Taking into account all variations & errors including: EDA tools/libraries inaccuracies Global & local variations Wire geometry & temperature Via geometry & temperature Dynamic crosstalk, etc. Handling complex correlations Using new pseudo-statistical & pure statistical Monte Carlo methods Handling timing yield & confidence level requirements 46

47 Timing signoff experts know statistics on: Number of designs that were not closed at a spec frequency in spite of all the design efforts & time Number of re-spins for designs due to insufficient timing yield or silicon failure These statistics are not published & the reasons are understandable. This is happening in spite of all the advancements in technology, EDA tools & methodology developed at Semiconductors, EDA & Electronics industries New advanced tools are emerging because: No room for silicon failure More accurate timing is needed to avoid pessimism These tools improve accuracy, provide risk management, minimize pessimism & signoff corners number, etc. Abelite technology is based on new paradigms to advance timing analysis & solve the contemporary challenges 47

A Utility for Leakage Power Recovery within PrimeTime 1 SI

A Utility for Leakage Power Recovery within PrimeTime 1 SI within PrimeTime 1 SI Bruce Zahn LSI Corporation Bruce.Zahn@lsi.com ABSTRACT This paper describes a utility which is run within the PrimeTime SI signoff environment that recovers leakage power and achieves

More information

How To Design A Chip Layout

How To Design A Chip Layout Spezielle Anwendungen des VLSI Entwurfs Applied VLSI design (IEF170) Course and contest Intermediate meeting 3 Prof. Dirk Timmermann, Claas Cornelius, Hagen Sämrow, Andreas Tockhorn, Philipp Gorski, Martin

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Method For Calculating Output Voltage Tolerances in Adjustable Regulators

Method For Calculating Output Voltage Tolerances in Adjustable Regulators Method For Calculating Output Voltage Tolerances in Adjustable Regulators Introduction When working with voltage regulator circuits, the designer is often confronted with the need to calculate the tolerance

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors

Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Use-it or Lose-it: Wearout and Lifetime in Future Chip-Multiprocessors Hyungjun Kim, 1 Arseniy Vitkovsky, 2 Paul V. Gratz, 1 Vassos Soteriou 2 1 Department of Electrical and Computer Engineering, Texas

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX

Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX White Paper Testing Low Power Designs with Power-Aware Test Manage Manufacturing Test Power Issues with DFTMAX and TetraMAX April 2010 Cy Hay Product Manager, Synopsys Introduction The most important trend

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

Packet TDEV, MTIE, and MATIE - for Estimating the Frequency and Phase Stability of a Packet Slave Clock. Antti Pietiläinen

Packet TDEV, MTIE, and MATIE - for Estimating the Frequency and Phase Stability of a Packet Slave Clock. Antti Pietiläinen Packet TDEV, MTIE, and MATIE - for Estimating the Frequency and Phase Stability of a Packet Slave Clock Antti Pietiläinen Soc Classification level 1 Nokia Siemens Networks Expressing performance of clocks

More information

Impact of Signal Integrity on System-On-Chip Design Methodologies

Impact of Signal Integrity on System-On-Chip Design Methodologies EDP 2004 Impact of Signal Integrity on System-On-Chip Methodologies Juan-Antonio Carballo jantonio@us.ibm.com VSIA IMP co-chair Raminderpal Singh IBM Systems and Technology raminder@us.ibm.com VSIA IMP

More information

The Importance of Software License Server Monitoring

The Importance of Software License Server Monitoring The Importance of Software License Server Monitoring NetworkComputer How Shorter Running Jobs Can Help In Optimizing Your Resource Utilization White Paper Introduction Semiconductor companies typically

More information

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1

Clocking. Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 Clocks 1 ing Figure by MIT OCW. 6.884 - Spring 2005 2/18/05 L06 s 1 Why s and Storage Elements? Inputs Combinational Logic Outputs Want to reuse combinational logic from cycle to cycle 6.884 - Spring 2005 2/18/05

More information

Lecture 11: Sequential Circuit Design

Lecture 11: Sequential Circuit Design Lecture 11: Sequential Circuit esign Outline Sequencing Sequencing Element esign Max and Min-elay Clock Skew Time Borrowing Two-Phase Clocking 2 Sequencing Combinational logic output depends on current

More information

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary

Fault Modeling. Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults. Transistor faults Summary Fault Modeling Why model faults? Some real defects in VLSI and PCB Common fault models Stuck-at faults Single stuck-at faults Fault equivalence Fault dominance and checkpoint theorem Classes of stuck-at

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s

Application Note. PCIEC-85 PCI Express Jumper. High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s PCIEC-85 PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark

More information

Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor

Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor Designing the NEWCARD Connector Interface to Extend PCI Express Serial Architecture to the PC Card Modular Form Factor Abstract This paper provides information about the NEWCARD connector and board design

More information

Application Note 58 Crystal Considerations with Dallas Real Time Clocks

Application Note 58 Crystal Considerations with Dallas Real Time Clocks www.dalsemi.com Application Note 58 Crystal Considerations with Dallas Real Time Clocks Dallas Semiconductor offers a variety of real time clocks (RTCs). The majority of these are available either as integrated

More information

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu.

At-Speed Test Considering Deep Submicron Effects. D. M. H. Walker Dept. of Computer Science Texas A&M University walker@cs.tamu. At-Speed Test Considering Deep Submicron Effects D. M. H. Walker Dept. of Computer Science Teas A&M University walker@cs.tamu.edu Integrated Circuit Testing IC Test What s Going On Test Generation Test

More information

Amajor benefit of Monte-Carlo schedule analysis is to

Amajor benefit of Monte-Carlo schedule analysis is to 2005 AACE International Transactions RISK.10 The Benefits of Monte- Carlo Schedule Analysis Mr. Jason Verschoor, P.Eng. Amajor benefit of Monte-Carlo schedule analysis is to expose underlying risks to

More information

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

APPLICATION OF ADVANCED SEARCH- METHODS FOR AUTOMOTIVE DATA-BUS SYSTEM SIGNAL INTEGRITY OPTIMIZATION

APPLICATION OF ADVANCED SEARCH- METHODS FOR AUTOMOTIVE DATA-BUS SYSTEM SIGNAL INTEGRITY OPTIMIZATION APPLICATION OF ADVANCED SEARCH- METHODS FOR AUTOMOTIVE DATA-BUS SYSTEM SIGNAL INTEGRITY OPTIMIZATION Harald Günther 1, Stephan Frei 1, Thomas Wenzel, Wolfgang Mickisch 1 Technische Universität Dortmund,

More information

White Paper Understanding Metastability in FPGAs

White Paper Understanding Metastability in FPGAs White Paper Understanding Metastability in FPGAs This white paper describes metastability in FPGAs, why it happens, and how it can cause design failures. It explains how metastability MTBF is calculated,

More information

Application Performance Testing Basics

Application Performance Testing Basics Application Performance Testing Basics ABSTRACT Todays the web is playing a critical role in all the business domains such as entertainment, finance, healthcare etc. It is much important to ensure hassle-free

More information

Yaffs NAND Flash Failure Mitigation

Yaffs NAND Flash Failure Mitigation Yaffs NAND Flash Failure Mitigation Charles Manning 2012-03-07 NAND flash is one of very few types of electronic device which are knowingly shipped with errors and are expected to generate further errors

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

An Efficient Reduction Algorithm for Computation of Interconnect Delay Variability for Statistical Timing Analysis in Clock Tree Planning

An Efficient Reduction Algorithm for Computation of Interconnect Delay Variability for Statistical Timing Analysis in Clock Tree Planning An Efficient Reduction Algorithm for Computation of Interconnect Delay Variability for Statistical Timing Analysis in Clock Tree Planning Sivakumar Bondada a, Soumyendu Raha b and Santanu Mahapatra a a

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING

TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING TIMING-DRIVEN PHYSICAL DESIGN FOR DIGITAL SYNCHRONOUS VLSI CIRCUITS USING RESONANT CLOCKING BARIS TASKIN, JOHN WOOD, IVAN S. KOURTEV February 28, 2005 Research Objective Objective: Electronic design automation

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Programming NAND devices

Programming NAND devices Technical Guide Programming NAND devices Kelly Hirsch, Director of Advanced Technology, Data I/O Corporation Recent Design Trends In the past, embedded system designs have used NAND devices for storing

More information

SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis

SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis SYSM 6304: Risk and Decision Analysis Lecture 5: Methods of Risk Analysis M. Vidyasagar Cecil & Ida Green Chair The University of Texas at Dallas Email: M.Vidyasagar@utdallas.edu October 17, 2015 Outline

More information

WHAT DESIGNERS SHOULD KNOW ABOUT DATA CONVERTER DRIFT

WHAT DESIGNERS SHOULD KNOW ABOUT DATA CONVERTER DRIFT WHAT DESIGNERS SHOULD KNOW ABOUT DATA CONVERTER DRIFT Understanding the Components of Worst-Case Degradation Can Help in Avoiding Overspecification Exactly how inaccurate will a change in temperature make

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

Measurement and Metrics Fundamentals. SE 350 Software Process & Product Quality

Measurement and Metrics Fundamentals. SE 350 Software Process & Product Quality Measurement and Metrics Fundamentals Lecture Objectives Provide some basic concepts of metrics Quality attribute metrics and measurements Reliability, validity, error Correlation and causation Discuss

More information

Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Real-Time Systems Prof. Dr. Rajib Mall Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 26 Real - Time POSIX. (Contd.) Ok Good morning, so let us get

More information

An Automated Model Based Design Flow for the Design of Robust FlexRay Networks

An Automated Model Based Design Flow for the Design of Robust FlexRay Networks SAE TECHNICAL PAPER SERIES 2008-01-1031 An Automated Model Based Design Flow for the Design of Robust FlexRay Networks Thorsten Gerke Synopsys GmbH David Bollati C&S Group/University of Applied Science

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Shanghai R&D Vacancies August 2014 PV, PE, Intern

Shanghai R&D Vacancies August 2014 PV, PE, Intern RD Shanghai R&D Vacancies August 2014 PV, PE, Intern 1. Lead Software Engineer- Routing (Req#: 9528) Responsible for development and maintenance of signal routing in EDI platform (NanoRoute). Implementation

More information

Back to Elements - Tetrahedra vs. Hexahedra

Back to Elements - Tetrahedra vs. Hexahedra Back to Elements - Tetrahedra vs. Hexahedra Erke Wang, Thomas Nelson, Rainer Rauch CAD-FEM GmbH, Munich, Germany Abstract This paper presents some analytical results and some test results for different

More information

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines)

Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014. Striplines and Microstrips (PCB Transmission Lines) Eatman Associates 2014 Rockwall TX 800-388-4036 rev. October 1, 2014 Striplines and Microstrips (PCB Transmission Lines) Disclaimer: This presentation is merely a compilation of information from public

More information

Practical Applications of Stochastic Modeling for Disability Insurance

Practical Applications of Stochastic Modeling for Disability Insurance Practical Applications of Stochastic Modeling for Disability Insurance Society of Actuaries Session 8, Spring Health Meeting Seattle, WA, June 007 Practical Applications of Stochastic Modeling for Disability

More information

IL2225 Physical Design

IL2225 Physical Design IL2225 Physical Design Nasim Farahini farahini@kth.se Outline Physical Implementation Styles ASIC physical design Flow Floor and Power planning Placement Clock Tree Synthesis Routing Timing Analysis Verification

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

Coverity White Paper. Effective Management of Static Analysis Vulnerabilities and Defects

Coverity White Paper. Effective Management of Static Analysis Vulnerabilities and Defects Effective Management of Static Analysis Vulnerabilities and Defects Introduction According to a recent industry study, companies are increasingly expanding their development testing efforts to lower their

More information

Application Note 58 Crystal Considerations for Dallas Real-Time Clocks

Application Note 58 Crystal Considerations for Dallas Real-Time Clocks www.maxim-ic.com Application Note 58 Crystal Considerations for Dallas Real-Time Clocks OVERVIEW This application note describes crystal selection and layout techniques for connecting a 32,768Hz crystal

More information

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI)

A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) A 2-Slot Time-Division Multiplexing (TDM) Interconnect Network for Gigascale Integration (GSI) Ajay Joshi and Jeff Davis AIMD Research Group Georgia Institute of Technology Sponsored by: NSF # 0092450

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures

McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures McPAT: An Integrated Power, Area, and Timing Modeling Framework for Multicore and Manycore Architectures Sheng Li, Junh Ho Ahn, Richard Strong, Jay B. Brockman, Dean M Tullsen, Norman Jouppi MICRO 2009

More information

AN862. OPTIMIZING Si534X JITTER PERFORMANCE IN NEXT GENERATION INTERNET INFRASTRUCTURE SYSTEMS. 1. Introduction

AN862. OPTIMIZING Si534X JITTER PERFORMANCE IN NEXT GENERATION INTERNET INFRASTRUCTURE SYSTEMS. 1. Introduction OPTIMIZING Si534X JITTER PERFORMANCE IN NEXT GENERATION INTERNET INFRASTRUCTURE SYSTEMS 1. Introduction To realize 100 fs jitter performance of the Si534x jitter attenuators and clock generators in real-world

More information

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ

NAND Flash FAQ. Eureka Technology. apn5_87. NAND Flash FAQ What is NAND Flash? What is the major difference between NAND Flash and other Memory? Structural differences between NAND Flash and NOR Flash What does NAND Flash controller do? How to send command to

More information

Statistical Methods For Semiconductor Chip Design

Statistical Methods For Semiconductor Chip Design Statistical Methods For Semiconductor Chip Design Version 1.0 02 December 2008 Published by Silicon Integration Initiative, Inc. (Si2TM) 9111 Jollyville Road, Suite 250 Austin TX 78759 Copyright 2008 by

More information

TI GPS PPS Timing Application Note

TI GPS PPS Timing Application Note Application Note Version 0.6 January 2012 1 Contents Table of Contents 1 INTRODUCTION... 3 2 1PPS CHARACTERISTICS... 3 3 TEST SETUP... 4 4 PPS TEST RESULTS... 6 Figures Figure 1 - Simplified GPS Receiver

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

ESG Engineering Services Group

ESG Engineering Services Group ESG Engineering Services Group WCDMA Network Planning and Optimization 80-W0853-1 Revision B May, 2006 QUALCOMM Incorporated 5775 Morehouse Drive San Diego, CA 92121-1714 U.S.A. This technology is controlled

More information

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014 Sentinel-SSO: Full DDR-Bank Power and Signal Integrity Design Automation Conference 2014 1 Requirements for I/O DDR SSO Analysis Modeling Package and board I/O circuit and layout PI + SI feedback Tool

More information

Simulation and Lean Six Sigma

Simulation and Lean Six Sigma Hilary Emmett, 22 August 2007 Improve the quality of your critical business decisions Agenda Simulation and Lean Six Sigma What is Monte Carlo Simulation? Loan Process Example Inventory Optimization Example

More information

11.3 BREAK-EVEN ANALYSIS. Fixed and Variable Costs

11.3 BREAK-EVEN ANALYSIS. Fixed and Variable Costs 385 356 PART FOUR Capital Budgeting a large number of NPV estimates that we summarize by calculating the average value and some measure of how spread out the different possibilities are. For example, it

More information

APPLICATION NOTES: Dimming InGaN LED

APPLICATION NOTES: Dimming InGaN LED APPLICATION NOTES: Dimming InGaN LED Introduction: Indium gallium nitride (InGaN, In x Ga 1-x N) is a semiconductor material made of a mixture of gallium nitride (GaN) and indium nitride (InN). Indium

More information

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Martin Biehl (mbiehl@cadence.com) Ecole d'électronique numérique Fréjus 27.Nov.2012 Agenda 1. Key Design Challenges 2. DDR3 Design-In

More information

Introduction. 1.1 Motivation. Chapter 1

Introduction. 1.1 Motivation. Chapter 1 Chapter 1 Introduction The automotive, aerospace and building sectors have traditionally used simulation programs to improve their products or services, focusing their computations in a few major physical

More information

Real vs. Synthetic Web Performance Measurements, a Comparative Study

Real vs. Synthetic Web Performance Measurements, a Comparative Study Real vs. Synthetic Web Performance Measurements, a Comparative Study By John Bartlett and Peter Sevcik December 2004 Enterprises use today s Internet to find customers, provide them information, engage

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

Recommendations for Performance Benchmarking

Recommendations for Performance Benchmarking Recommendations for Performance Benchmarking Shikhar Puri Abstract Performance benchmarking of applications is increasingly becoming essential before deployment. This paper covers recommendations and best

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Part 2: Analysis of Relationship Between Two Variables

Part 2: Analysis of Relationship Between Two Variables Part 2: Analysis of Relationship Between Two Variables Linear Regression Linear correlation Significance Tests Multiple regression Linear Regression Y = a X + b Dependent Variable Independent Variable

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

PowerPC Microprocessor Clock Modes

PowerPC Microprocessor Clock Modes nc. Freescale Semiconductor AN1269 (Freescale Order Number) 1/96 Application Note PowerPC Microprocessor Clock Modes The PowerPC microprocessors offer customers numerous clocking options. An internal phase-lock

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning

Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Lightweight and Secure PUF Key Storage Using Limits of Machine Learning Meng-Day (Mandel) Yu 1, David M Raïhi 1, Richard Sowell 1, Srinivas Devadas 2 1 Verayo, Inc., San Jose, CA, USA 2 MIT, Cambridge,

More information

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR

IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR International Journal of Engineering & Science Research IMPLEMENTATION OF BACKEND SYNTHESIS AND STATIC TIMING ANALYSIS OF PROCESSOR LOCAL BUS(PLB) PERFORMANCE MONITOR ABSTRACT Pathik Gandhi* 1, Milan Dalwadi

More information

A NEW TEST STRATEGY FOR COMPLEX PRINTED CIRCUIT BOARD ASSEMBLIES

A NEW TEST STRATEGY FOR COMPLEX PRINTED CIRCUIT BOARD ASSEMBLIES A NEW TEST STRATEGY FOR COMPLEX PRINTED CIRCUIT BOARD ASSEMBLIES Stig Oresjo Agilent Technologies, Inc. Introduction The trend in Printed Circuit Board Assembly (PCBA) technology is towards higher complexity.

More information

A Shortcut to Calculating Return on Required Equity and It s Link to Cost of Capital

A Shortcut to Calculating Return on Required Equity and It s Link to Cost of Capital A Shortcut to Calculating Return on Required Equity and It s Link to Cost of Capital Nicholas Jacobi An insurance product s return on required equity demonstrates how successfully its results are covering

More information

LAN extensions for Instrumentation

LAN extensions for Instrumentation LAN extensions for Instrumentation LXI: It s About Your Time It took years for Ethernet and the Web to transform the way we work. Now it s time for both to transform test systems. That s why leading test

More information

'& ##! %1# ##!!* #!!! 23!!!

'& ##! %1# ##!!* #!!! 23!!! !" 1 !"# $ Companies invest a lot of time and money into tools for functional test automation and performance testing. I think this tends to lead to the belief that the best way to utilize these tools

More information

COMMITTEE T1 TELECOMMUNICATIONS Working Group T1E1.4 (DSL Access) Costa Mesa, California, March 8 12, 1999

COMMITTEE T1 TELECOMMUNICATIONS Working Group T1E1.4 (DSL Access) Costa Mesa, California, March 8 12, 1999 COMMITTEE T1 TELECOMMUNICATIONS Working Group T1E1.4 (DSL Access) Costa Mesa, California, March 8 1, 1999 T1E1.4/99-16 CONTRIBUTION TITLE: SOURCE*: PROJECT: Proposal for an Improved Upstream FEXT Model

More information

UK Railway Systems Reliability - Modelling the Future a case study. Nigel Best, Bradley Hyland Network Rail 7 th March 2012

UK Railway Systems Reliability - Modelling the Future a case study. Nigel Best, Bradley Hyland Network Rail 7 th March 2012 UK Railway Systems Reliability - Modelling the Future a case study Nigel Best, Bradley Hyland Network Rail 7 th March 2012 Modelling the Future - Introduction Why did we do the work? What approach do we

More information

Quality. Stages. Alun D. Jones

Quality. Stages. Alun D. Jones Quality - by Design Quality Design Review Stages Alun D. Jones Design Review Stages Design Review 0 (DR0) Pre-order & quotation stage Design Review 1 (DR1) Initial kick-off and preliminary specification

More information

Errors Due to Shared Leadwires in Parallel Strain Gage Circuits

Errors Due to Shared Leadwires in Parallel Strain Gage Circuits Micro-Measurements Strain Gages and Instruments Errors Due to Shared Leadwires in Parallel Strain Gage Circuits TN-516 1. Introduction The usual, and preferred, practice with multiple quarterbridge strain

More information

International Journal of Electronics and Computer Science Engineering 1482

International Journal of Electronics and Computer Science Engineering 1482 International Journal of Electronics and Computer Science Engineering 1482 Available Online at www.ijecse.org ISSN- 2277-1956 Behavioral Analysis of Different ALU Architectures G.V.V.S.R.Krishna Assistant

More information

GigaSPEED X10D Solution How

GigaSPEED X10D Solution How SYSTIMAX Solutions GigaSPEED X10D Solution How White Paper June 2009 www.commscope.com Contents Modal Decomposition Modeling and the Revolutionary 1 SYSTIMAX GigaSPEED X10D Performance MDM An Introduction

More information

Scanning with Sony Ericsson TEMS Phones. Technical Paper

Scanning with Sony Ericsson TEMS Phones. Technical Paper Scanning with Sony Ericsson TEMS Phones Technical Paper Scanning with Sony Ericsson TEMS Phones 2009-05-13 Ascom 2009. All rights reserved. TEMS is a trademark of Ascom. All other trademarks are the property

More information

SECTION 2 Transmission Line Theory

SECTION 2 Transmission Line Theory SEMICONDUCTOR DESIGN GUIDE Transmission Line Theory SECTION 2 Transmission Line Theory Introduction The ECLinPS family has pushed the world of ECL into the realm of picoseconds. When output transitions

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

ES250: Electrical Science. HW7: Energy Storage Elements

ES250: Electrical Science. HW7: Energy Storage Elements ES250: Electrical Science HW7: Energy Storage Elements Introduction This chapter introduces two more circuit elements, the capacitor and the inductor whose elements laws involve integration or differentiation;

More information

Avoiding AC Capacitor Failures in Large UPS Systems

Avoiding AC Capacitor Failures in Large UPS Systems Avoiding AC Capacitor Failures in Large UPS Systems White Paper #60 Revision 0 Executive Summary Most AC power capacitor failures experienced in large UPS systems are avoidable. Capacitor failures can

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

Timing Methodologies (cont d) Registers. Typical timing specifications. Synchronous System Model. Short Paths. System Clock Frequency

Timing Methodologies (cont d) Registers. Typical timing specifications. Synchronous System Model. Short Paths. System Clock Frequency Registers Timing Methodologies (cont d) Sample data using clock Hold data between clock cycles Computation (and delay) occurs between registers efinition of terms setup time: minimum time before the clocking

More information

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION

SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION 1 SIGNAL GENERATORS and OSCILLOSCOPE CALIBRATION By Lannes S. Purnell FLUKE CORPORATION 2 This paper shows how standard signal generators can be used as leveled sine wave sources for calibrating oscilloscopes.

More information

Testing Automation for Distributed Applications By Isabel Drost-Fromm, Software Engineer, Elastic

Testing Automation for Distributed Applications By Isabel Drost-Fromm, Software Engineer, Elastic Testing Automation for Distributed Applications By Isabel Drost-Fromm, Software Engineer, Elastic The challenge When building distributed, large-scale applications, quality assurance (QA) gets increasingly

More information

CFD STUDY OF TEMPERATURE AND SMOKE DISTRIBUTION IN A RAILWAY TUNNEL WITH NATURAL VENTILATION SYSTEM

CFD STUDY OF TEMPERATURE AND SMOKE DISTRIBUTION IN A RAILWAY TUNNEL WITH NATURAL VENTILATION SYSTEM CFD STUDY OF TEMPERATURE AND SMOKE DISTRIBUTION IN A RAILWAY TUNNEL WITH NATURAL VENTILATION SYSTEM J. Schabacker, M. Bettelini, Ch. Rudin HBI Haerter AG Thunstrasse 9, P.O. Box, 3000 Bern, Switzerland

More information