TSV Interposer: The Most Cost-Effective Integrator for 3D IC Integration

Size: px
Start display at page:

Download "TSV Interposer: The Most Cost-Effective Integrator for 3D IC Integration"

Transcription

1 Interposer: The Most Cost-Effective Integrator for 3D IC Integration John H. Lau Electronics & Optoelectronics Research Laboratories Industrial Technology Research Institute (ITRI) Chutung, Hsinchu, Taiwan 310, R.O.C ,

2 Objectives To investigate the significant roles of Cu-filled passive interposers for 3D IC integration. Emphasis is placed on the roles they play as: (1) Substrates (2) Reliability buffers (3) Carriers (4) Thermal management tools It is shown that the Cu-filled passive interposers are the most cost-effective integrator for 3D IC integration system-inpackage (SiP).

3 Contents (1) INTRODUCTION (2) 3D IC INTEGRATION (3) 3D IC MEMORY-CHIPS STACKING (4) 3D IC INTEGRATION: ACTIVE INTERPOSERS (5) 3D IC INTEGRATION: PASSIVE INTERPOSERS A. Passive Interposers as Substrates/Carriers (2.5D IC Integration) B. Cu-Filled Passive Interposers as Reliability Buffers for Moore s Law chips C. Passive Interposers as the Integrators for Moore s Law Chips (3D IC Integration) D. Passive Interposers used as Effective Thermal Management tools and Low-Cost Integrators for Moore s Law chips (3D IC Integration) (6) SUMMARY AND RECOMMENDATIONS (7) ACKNOWLEDEGEMENTS

4 3D Integration Technologies 3D IC Packaging 3D IC Integration 3D Si Integration Mass Production Full swing production for memories. Testing and yield challenges give way for package stacking Maturity Commercialization Applied R&D Basic/A pplied R&D Die Stacking with wire bonds Package on Package Stacking (PoP) C2C, C2W, W2W Stacking Active applied R&D is undertaken by Research Institutes. System level challenges are key. In the phase of industrialization. Still in upstream research, technological challenges such as yield & device architecture are key issues. W2W Stacking Lau Technology 4 Lau, Lee, Prem, Yu, 3D MEMS Packaging, McGraw-Hill, 2009

5 Evolution of 3D integration The origin of 3D Integration (1980) 3D integration was trigged by the silicon-on-insulator (SOI) technology 30 years ago, when people thought Moore s law could be hitting the wall by the 1990s. 3D Si Integration (was favored in 1980s) Stacking up wafers with s for electrical feed through. Bumpless! 3D IC Integration (was rejected in 1980s) Stacking up the chips with s and solder bumps The invention of (1958) Shockley's invention was not meant for 3D integration A boost (1985) by Richard Feynman Go 3D instead of all on a surface of a chip! Long way to go! Need Ecosystem, EDA, Technology 3D IC Integration with microbumps and thin chips (has been favored since 2000s) Because of the disappointment of 3D Si Integration, and using thin chips and microbumps No sight in Volume Production in the next 10 years The right way to go and compete with Moore s law. Hopefully in production (at least for memory-chips stacking) by 2020! Memorychips Stacking Cost issues and Competing technology Active Interposers (Memory/Logic + CPU/Logic) Need Ecosystem, EDA, and Business models Passive Interposers (2.5D & 3D) Will be used the most in the next 10 years Thin Wafers 560μm 8@50μm thick 2Gb Chips (16Gb) 2.5D IC Integration with Passive Interposer /RDL/IPD Passive Interposer Micro Bump W2W (SiO 2 -SiO 2 ) bonding Cu Bumpless Cu Micro bump Memory CPU/ Logic Micro bump 3D IC Integration with Passive Interposer /RDL/IPD Passive Interposer Micro Bump

6 Xilinx s 4 FPGAs on a Passive Interposer

7 Xilinx s FPGA Wide I/O Interface

8 ITRI Phase-I 3D IC Integration Test Vehicle Not-to-scale Electrical Stress sensor : 10μm Micro bumps is optional 100μm Mechanical :15μm :10μm 50μm IPD Thermal :15μm 100μm 80μm /RDL/IPD Interposer Ordinary bumps RDL RDL ` ` :15μm 1mm Organic (BT) substrate I/O:400 ball array, pitch:450μm 350μm Solder balls 1.2mm I/O:400 ball array, pitch:1mm PCB PCB ITRI Phase-I 3D IC Integration SiP 8

9 ITRI Phase-I 3D IC Integration Test Vehicle ITRI s Phase-I 3D IC integration SiP Mechanical Chip 4-chip stacked Thermal chip interposer BT-substrate

10 Semi-Embedded Interposer with Stress Relief Gap Moore s Law chips 10

11 Cu-filled can be a Stress Relief (Reliability) Buffer for the Cu-low-k Pads of a Moore s law Chip TCE = 2.5x10-6 / o C u-filled interposer Mirco solder joint Moore s law Chip Special Underfill TCE = 8-10x10-6 / o C Ordinary Underfill BT-Substrate MPa a) PCB Ordinary solder joint MPa Mirco solder joint Moore s law Chip BT-Substrate Special Underfill Conventional FCBGA with 42MPa FCBGA with interposer and underfill Category FCBGA1 Category interposer 2 Category 3 b) PCB Lau TCE = 15x10-6 / o C Selcanayagam and Lau, et al., IEEE/ECTC08, Also, IEEE Transactions Zhang, Lau, et al., IEEE/ECTC 2009, Also, IEEE Transactions 2010 Lau and Zhang, ASME Paper: InterPACK

12 IME Interposers (Carriers)

13 Evolution of 3D integration The origin of 3D Integration (1980) 3D integration was trigged by the silicon-on-insulator (SOI) technology 30 years ago, when people thought Moore s law could be hitting the wall by the 1990s. 3D Si Integration (was favored in 1980s) Stacking up wafers with s for electrical feed through. Bumpless! 3D IC Integration (was rejected in 1980s) Stacking up the chips with s and solder bumps The invention of (1958) Shockley's invention was not meant for 3D integration A boost (1985) by Richard Feynman Go 3D instead of all on a surface of a chip! Long way to go! Need Ecosystem, EDA, Technology 3D IC Integration with microbumps and thin chips (has been favored since 2000s) Because of the disappointment of 3D Si Integration, and using thin chips and microbumps No sight in Volume Production in the next 10 years The right way to go and compete with Moore s law. Hopefully in production (at least for memory-chips stacking) by 2020! Memorychips Stacking Cost issues and Competing technology Active Interposers (Memory/Logic + CPU/Logic) Need Ecosystem, EDA, and Business models Passive Interposers (2.5D & 3D) Will be used the most in the next 10 years Thin Wafers 560μm 8@50μm thick 2Gb Chips (16Gb) 2.5D IC Integration with Passive Interposer /RDL/IPD Passive Interposer Micro Bump W2W (SiO 2 -SiO 2 ) bonding Cu Bumpless Cu Micro bump Memory CPU/ Logic Micro bump 3D IC Integration with Passive Interposer /RDL/IPD Passive Interposer Micro Bump

14 passive interposer supporting high-power chips (e.g., microprocessor and logic) on its top side and low-power chips (e.g., memory) on its bottom side Microbumps Adhesive Interposer with RDL & IPD TIM Heat Spreader + Sink (if needed) Microprocessor/ ASIC Stiffener ring Simple organic substrate PCB Ordinary solder bumps Memory Solder balls Special underfills are needed between the Cu -filled interposer and all the chips. Ordinary underfills are needed between the interposer and the organic substrate.

15 interposer supporting high-power chips on its top side and low-power chips on its bottom side with a cavity. Microbumps Adhesive /RDL/IPD interposer with a cavity TIM Heat Spreader + Sink (if needed) Microprocessor/ ASIC Stiffener ring Simple organic substrate PCB Ordinary solder bumps Solder balls Special underfills are needed between the Cu-filled interposer and all the chips. Ordinary underfills are needed between the interposer and the organic substrate.

16 Passive interposer with RDL and IPD supporting highpower chips on its top-side and low-power chips at its bottom-side. The organic substrate is with a cavity

17 Dimensions of the passive interposer with 4 highpower flip chips on its top and 16 low-power flip chips at its bottom (the gist of the 3D IC integration SiP.) Interposer 10mm Interposer 5mm 35mm 10mm 35mm 5mm Interposer High Power Chip 200µm 200µm High power chip Low power chip Low Power Chip 200µm 60mm Solder bump 35mm 35mm The 4 high power chips are the same and uniformly distributed over the interposer. 150µm High Power Chip There are 66 bumps on each side. Totally 260 bumps. 60µm Solder Bump The 16 low power chips are the same and uniformly distributed over the interposer. 400µm Low power chip There are 11 bumps on each side. Totally 40 bumps. 60µm Solder bump 850µm Cu 20µm 200µm Interposer There are 1600 s in the interposer. So there are 400 s in the quarter model. Top View Bottom View Side View ASME Paper no. IMECE Lau

18 Thermal Management System of 3D IC Integration Supported by a Interposer Thermal Interface Material Stiffener Heat Spreader Heat Sink Micro Bumps Adhesive High-power Chip High-power Chip Low-power Chip Heat Slug PCB PCB Organic Substrate Ordinary Solder Bumps Interposer with RDL & IPD Solder Balls Special underful between the interposer and the high- and low-power flip chips. Ordinary underful between the interposer and the organic substrate.

19 Low-Cost TSH (Through-Si Holes) Interposer for 3D IC Integration Through-Si Holes (TSH) Interposer Moore s Law chip Solder joints Non-metallization holes on the TSH interposer RDL RDL RDL Solder bump RDL RDL Cu/Au Stud, wire, or pillow RDL Solder bump Organic Substrate/PCB 1. Underfills are optional between the Moore s law chips and the interpose when they are subjected to thermal loading! However, for shock and vibration loads, and depending on chip size, underfills may be needed! 2. Underfills between the TSH and the organic substrate/pcb are necessary!

20 Embedded 3D IC Integration with Optical Devices Serializer or deserializer Driver chip or TIA Heat Slug VCSEL or PD Cu Heat Spreader TIM Solder Ball TIM Heat Slug Heat Slug Mirror Polymer Waveguide Optical layer support (film) Mirror Laminated Substrate/Board Special Underfills (e.g., Transparent) Buried via (filled or unfilled) for electrical interconnects Special Underfills (e.g., Transparent) VCSEL = Vertical Cavity Surface Emitted Laser (transparent); PD = Photo Diode Detector (transparent); TIA = Trans-Impedance Amplifier 20

21 3D IC integration SiP consists of a series of /RDL/IPD interposers with embedded fluidic channels to support multiple Moore s law chips without any s /RDL/IPD Interposer with embedded fluidic channels to support multiple Moore s law chips without any s Substrate PCB

22 /RDL/IPD interposer with embedded fluidic channels supporting all kinds of chips on its top and bottom sides /RDL/IPD interposer with embedded fluidic channels to support Moore s law chips with no s IPD RDL Moore s law chips s Micro-channels Microbumps Solder bumps

23 Interposer (carrier) with s for electrical feed through and fluidic microchannels for thermal management Fluidic inlet Fluidic outlet Fluidic channel Fluidic inlet Fluidic outlet Top-side Fluidic Channel Bottom-side

24 Fabricated and embedded fluidic microchannel carrier (interposer). The, sealing ring for s, sealing ring for micochannels. Au20Sn solder bumps and Ti/Cu/Ni/Au UBMs

25 For channel height = 700μm, 100 LED@2W, 4 ASIC@10W, flow rate = 0.54L/min. Top Left (interposer and LEDs temperature distribution); Top Right (LEDs temperature distribution); Bottom Left (ASICs temperature distribution); Bottom Right (flow path in channel) Interposer and LEDs temperature distribution LEDs temperature distribution ASICs temperature distribution Flow path in channel

26 3D IC integration ( interposer with embedded fluidic microchannels) 3D Integration Roadmap Volume Production 3D IC integration ( interposer with chips on both sides) 2.5D IC integration ( interposer with chips on top-side) CIS with (2.5D) CIS with and DSP MEMS on ASIC with Multi-LEDs on chip with Wide I/Os DRAM Memory/Logic + CPU/Logic with Memory stacking Memory/Logic + CPU/Logic

27 SUMMARY AND RECOMMENDATIONS The roles played by the Cu-filled passive interposers for 3D IC integration have been investigated in this study. It has been demonstrated that the Cu-filled passive interposers are cost-effective 2.5D IC integration substrates and carriers, as well as 3D IC integrator, thermal management tools, and reliability buffers. Some important results and recommendations are summarized in the following. 1. In the next 10 years, the s will be fabricated the most (by the number of vias) for Cu-filled passive interposers. 2. Passive interposer is the most cost-effective 3D IC integrator. It is not only for substrates, carriers, but also thermal managements. Let the passive interposer be the workhorse of 3D IC integration SiPs! 3. Besides it is the most cost-effective 3D IC integrator, the Cu-filled passive interposer acts like a stress relief (reliability) buffer, which reduces the stress acting on the Cu-low-k pads on Moore s law chips. This advantage becomes more pronounced when the feature size is getting smaller and so does the allowable stress of the chip pads. 4. A few true cost-effective 3D IC integration SiPs with Cu-filled passive interposers have been proposed. 5. 3D Si integration is the right way to go and compete with Moore s law. Hopefully, by 2020 at least the memory chips stacking could be manufactured at lower costs and higher throughputs by using the 3D Si integration technology. The industry should stride to make this happens!

28 Acknowledgements The author would like to express thanks to the financial support by Ministry of Economic Affairs (MOEA), Taiwan, R.O.C., and the strong support by the VP and Director of Electronics & Optoelectronics Research Lab, Dr. Ian Chan of ITRI.

29 Thank you very much for your attention! Lau 29

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices. Max Lu, Deputy Director, SPIL

Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices. Max Lu, Deputy Director, SPIL Wafer Level Fan-out and Embedded Technology for Potable/Wearable/IoT Devices Max Lu, Deputy Director, SPIL 2 Outline Market Trend & Industry Benchmark KEY Innovative Package Solutions Molded WLCSP Fan-Out

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Fraunhofer IZM-ASSID Targets

Fraunhofer IZM-ASSID Targets FRAUNHOFER INSTITUTE FoR Reliability and MiCroinTegration IZM Fraunhofer IZM ASSID All Silicon System Integration Dresden All Silicon System Integration Dresden Fraunhofer IZM-ASSID Fraunhofer IZM The

More information

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development

Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development Acoustic/Electronic stack design, interconnect, and assembly Techniques available and under development - supported by the European Commission under support-no. IST-026461 e-cubes Maaike M. V. Taklo :

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

OPTOELECTRONICS PACKAGING FOR EFFICIENT CHIP-TO-WAVEGUIDE COUPLING

OPTOELECTRONICS PACKAGING FOR EFFICIENT CHIP-TO-WAVEGUIDE COUPLING OPTOELECTRONICS PACKAGING FOR EFFICIENT CHIP-TO-WAVEGUIDE COUPLING G. VAN STEENBERGE, E. BOSMAN, J. MISSINNE, B. VAN HOE, K.S. KAUR, S. KALATHIMEKKAD, N. TEIGELL BENEITEZ, A. ELMOGI CONTACT GEERT.VANSTEENBERGE@ELIS.UGENT.BE

More information

Microsystem technology and printed circuit board technology. competition and chance for Europe

Microsystem technology and printed circuit board technology. competition and chance for Europe Microsystem technology and printed circuit board technology competition and chance for Europe Prof. Udo Bechtloff, KSG Leiterplatten GmbH 1 Content KSG a continuously growing company PCB based Microsystems

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Outline Introduction CAD design tools for embedded components Thermo mechanical design rules

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone tremendous

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products

to realize innovative electronic products 2 June 13, 2013 Jan Eite Bullema 3D Printing to realize innovative electronic products Overview of 2 What is? Methods / Materials / Current Products Rapid Prototyping evolves to Additive Manufacturing in Electronics Manufacturing Recent developments in 3D printing at TNO Conclusions / jan_eite.bullema@tno.nl

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings.

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Amkor

More information

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team Faszination Licht Entwicklungstrends im LED Packaging Dr. Rafael Jordan Business Development Team Agenda Introduction Hermetic Packaging Large Panel Packaging Failure Analysis Agenda Introduction Hermetic

More information

Thermal Management for Low Cost Consumer Products

Thermal Management for Low Cost Consumer Products Thermal Management for Low Cost Consumer Products TI Fellow Manager: Advanced Package Modeling and Characterization Texas Instruments rvin@ti.com Outline The challenges Stacked die, Package-on-Package,

More information

Ball Grid Array (BGA) Technology

Ball Grid Array (BGA) Technology Chapter E: BGA Ball Grid Array (BGA) Technology The information presented in this chapter has been collected from a number of sources describing BGA activities, both nationally at IVF and reported elsewhere

More information

Thermal Load Boards Improve Product Development Process

Thermal Load Boards Improve Product Development Process Thermal Load Boards Improve Product Development Process Bernie Siegal Thermal Engineering Associates, Inc. 2915 Copper Road Santa Clara, CA 95051 USA P: 650-961-5900 F: 650-227-3814 E: bsiegal@thermengr.com

More information

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered TowerJazz Global Symposium Specializing in Open Cavity Packages & Complete IC Assembly Services and TowerJazz Global Symposium Quik-Pak a division of Delphon Industries 2011 Gold Sponsor and TowerJazz

More information

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Abstract: The automotive technology is fast moving in integrating

More information

MEMS & SENSORS PACKAGING EVOLUTION

MEMS & SENSORS PACKAGING EVOLUTION MEMS & SENSORS PACKAGING EVOLUTION Presented by Christophe Zinck ASE Group September 26th, 2013 Outline 1. Brief presentation of ASE Group 2. Overview of MEMS packaging 3. ASE MEMS packaging background

More information

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch)

Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch) Implementation of Short Reach (SR) and Very Short Reach (VSR) data links using POET DOES (Digital Opto- electronic Switch) Summary POET s implementation of monolithic opto- electronic devices enables the

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

MEMS Processes from CMP

MEMS Processes from CMP MEMS Processes from CMP MUMPS from MEMSCAP Bulk Micromachining 1 / 19 MEMSCAP MUMPS processes PolyMUMPS SOIMUMPS MetalMUMPS 2 / 19 MEMSCAP Standard Processes PolyMUMPs 8 lithography levels, 7 physical

More information

Heterogeneous Sensor System on Chip

Heterogeneous Sensor System on Chip Introduction of M2M Networks Heterogeneous Sensor System on Chip Chih Ting Lin Yi Chang Lu Graduate Institute of Electronics Engineering National Taiwan University Billions of Connected Devices Applications

More information

Flip Chip Package Qualification of RF-IC Packages

Flip Chip Package Qualification of RF-IC Packages Flip Chip Package Qualification of RF-IC Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract Quad Flat Pack No Leads (QFNs) are thermally enhanced plastic packages

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie

Recent developments in high bandwidth optical interconnects. Brian Corbett. www.tyndall.ie Recent developments in high bandwidth optical interconnects Brian Corbett Outline Introduction to photonics for interconnections Polymeric waveguides and the Firefly project Silicon on insulator (SOI)

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions Innovative Wafer & Interconnect Technologies Outline Low cost RFID Tags & Labels Standard applications and

More information

IN LEITERPLATTEN INTEGRIERTE OPTISCHE VERBINDUNGSTECHNIK AUF DÜNNGLASBASIS

IN LEITERPLATTEN INTEGRIERTE OPTISCHE VERBINDUNGSTECHNIK AUF DÜNNGLASBASIS IN LEITERPLATTEN INTEGRIERTE OPTISCHE VERBINDUNGSTECHNIK AUF DÜNNGLASBASIS Dr. Henning Schröder henning.schroeder@izm.fraunhofer.de, phone: +49 30 46403 277 Outlook n Motivation n Manufacturing n Waveguide

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

MEMS mirror for low cost laser scanners. Ulrich Hofmann

MEMS mirror for low cost laser scanners. Ulrich Hofmann MEMS mirror for low cost laser scanners Ulrich Hofmann Outline Introduction Optical concept of the LIDAR laser scanner MEMS mirror requirements MEMS mirror concept, simulation and design fabrication process

More information

Advanced Technologies for System Integration Leveraging the European Ecosystem

Advanced Technologies for System Integration Leveraging the European Ecosystem Advanced Technologies for System Integration Leveraging the European Ecosystem Presented by Jean-Marc Yannou ASE Europe June 27, 2013 Packaging - Key for System Integration Semi networking day, Porto 1

More information

Multi-LED Package design, fabrication and thermalanalysis

Multi-LED Package design, fabrication and thermalanalysis Multi-LED Package design, fabrication and thermalanalysis R.H. Poelma 1, S. Tarashioon 1, H.W. van Zeijl 1, S. Goldbach 2, J.L.J. Zijl 3 and G.Q. Zhang 1,2 1 Delft University of Technology, Delft, The

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Metallized Particle Interconnect A simple solution for high-speed, high-bandwidth applications

Metallized Particle Interconnect A simple solution for high-speed, high-bandwidth applications Metallized Particle Interconnect A simple solution for high-speed, high-bandwidth applications The MPI Material Advantage Advantages: High-Density - Scalable Pitches down to 0,8 mm pitch possible - Scalable

More information

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro

L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro L innovazione tecnologica dell industria italiana verso la visione europea del prossimo futuro Mercoledì 2 Aprile 2014 Antonio D Errico, Francesco Testa, Roberto Sabella, Ericsson Silicon Photonics Opportunities

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery

White Paper: Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power: Integrated Energy Storage for POL Delivery Pervasive Power Overview This paper introduces several new concepts for micro-power electronic system design. These concepts are based on the

More information

Meeting the Thermal Management Needs of Evolving Electronics Applications

Meeting the Thermal Management Needs of Evolving Electronics Applications Meeting the Thermal Management Needs of Evolving Electronics Applications Dr. Glenn Mitchell / August 2015 Agenda Introduction Thermal Industry Trends TIM Challenges, Needs & Criteria TIM Industry Solutions

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Technology Developments Towars Silicon Photonics Integration

Technology Developments Towars Silicon Photonics Integration Technology Developments Towars Silicon Photonics Integration Marco Romagnoli Advanced Technologies for Integrated Photonics, CNIT Venezia - November 23 th, 2012 Medium short reach interconnection Example:

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

INEMI 2007 Roadmap Organic Substrates. Jack Fisher, Interconnect Technology Analysis, Inc. Celestica-iNEMI Technology Forum May 15, 2007

INEMI 2007 Roadmap Organic Substrates. Jack Fisher, Interconnect Technology Analysis, Inc. Celestica-iNEMI Technology Forum May 15, 2007 INEMI 2007 Roadmap Organic Substrates Jack Fisher, Interconnect Technology Analysis, Inc. Celestica-iNEMI Technology Forum May 15, 2007 Introduction The interconnecting substrates functional role provides

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Introduction There is an industry-wide trend towards using the smallest package possible for a given pin count. This is driven primarily

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Multilevel Socket Technologies

Multilevel Socket Technologies Multilevel Socket Technologies High Performance IC Sockets And Test Adapters Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs & Turn-Key Solutions

More information

Advanced Technologies and Equipment for 3D-Packaging

Advanced Technologies and Equipment for 3D-Packaging Advanced Technologies and Equipment for 3D-Packaging Thomas Oppert Semicon Russia 15 th May 2014 Outline Short Company Introduction Electroless Plating on Wafer Level Ultra-SB 2 - Wafer Level Solder Balling

More information

HDI-Baugruppen der Zukunft - Applikationen, Entwurf, Technologien

HDI-Baugruppen der Zukunft - Applikationen, Entwurf, Technologien HDI-Baugruppen der Zukunft - Applikationen, Entwurf, Technologien 2,5D SiP Vertikale Integration heterogener Mikroschaltungen Stephan Guttowski 2), David Polityko 1), Herbert Reichl 1) 1) Technical University

More information

Semi Networking Day Packaging Key for System Integration

Semi Networking Day Packaging Key for System Integration Semi Networking Day Packaging Key for System Integration Le Quartz, 75 Cours Emile Zola 69100 Villeurbanne, France Tel : +33 472 83 01 80 - Fax : +33 472 83 01 83 Web: http://www.yole.fr Semi Networking

More information

SiP & Embedded Passives ADEPT-SiP Project

SiP & Embedded Passives ADEPT-SiP Project System-in-Package () and the ADEPT- Project David Pedder TWI Ltd, Granta Park, Great Abington, Cambridge Copyright 2006 ADEPT- & Embedded Passives ADEPT- Project Objectives Programme Partners ADEPT- Architecture

More information

Comparison of Advanced PoP Package Configurations

Comparison of Advanced PoP Package Configurations Comparison of Advanced PoP Package Configurations By Hamid Eslampour, SeongMin Lee, SeongWon Park, TaeKeun Lee, InSang Yoon, YoungChul Kim STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010.

More information

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group HDI HDI = High Density Interconnect Kenneth Jonsson Bo Andersson NCAB Group Definitions / Standards (IPC) Pros & Cons Key equipment Build-ups Choice of material Design rules IPC HDI reliability (µvia stacked

More information

8 Gbps CMOS interface for parallel fiber-optic interconnects

8 Gbps CMOS interface for parallel fiber-optic interconnects 8 Gbps CMOS interface for parallel fiberoptic interconnects Barton Sano, Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California

More information

K&S Interconnect Technology Symposium

K&S Interconnect Technology Symposium Advanced Packaging Interconnect Trends and Technology Developments E. Jan Vardaman, President, Advanced Packaging Market Share 28 billion WB 13.8 billion FC & WLP 41 billion WB 28.5 billion FC & WLP Source:

More information

The 50G Silicon Photonics Link

The 50G Silicon Photonics Link The 50G Silicon Photonics Link The world s first silicon-based optical data connection with integrated lasers White Paper Intel Labs July 2010 Executive Summary As information technology continues to advance,

More information

K&S to Acquire Assembléon Transaction Overview

K&S to Acquire Assembléon Transaction Overview K&S to Acquire Assembléon Transaction Overview Safe Harbor Statement In addition to historical statements, this presentation and oral statements made in connection with it may contain statements relating

More information

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology

Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology Webinar: HDI 2 Perfection in HDI Optimal use of the HDI technology Würth Elektronik Circuit Board Technology www.we-online.de Seite 1 04.09.2013 Agenda Overview Webinar HDI 1 Route out a BGA Costs Roadmap

More information

David B. Wallace MicroFab Technologies, Inc. Plano, Texas dwallace@microfab.com www.microfab.com

David B. Wallace MicroFab Technologies, Inc. Plano, Texas dwallace@microfab.com www.microfab.com Ink-Jet Applications, Physics, and Modeling - an Industrial / Applied Research View David B. Wallace Plano, Texas dwallace@microfab.com www.microfab.com Ink-Jet Microdispensing Advantages Direct-write

More information

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP

A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP A Gigabit Transceiver for Data Transmission in Future HEP Experiments and An overview of optoelectronics in HEP Ken Wyllie, CERN 1 Outline Optoelectronics What? Why? How? Experience in HEP (LHC) & future

More information

3D ICs with TSVs Design Challenges and Requirements

3D ICs with TSVs Design Challenges and Requirements 3D ICs with TSVs Design Challenges and Requirements 3D integrated circuits (ICs) with through-silicon vias (TSVs) offer new levels of efficiency, power, performance, and form-factor advantages to the semiconductor

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Die Carrier Temporary Reusable Packages. Setting the Standards for Tomorrow

Die Carrier Temporary Reusable Packages. Setting the Standards for Tomorrow Die Carrier Temporary Reusable Packages Setting the Standards for Tomorrow Die Level Burn-in and Test The Need for KGD Historically, semiconductor manufacturers and endusers performed numerous tests on

More information

Anti-Counterfeit, Miniaturized, and Advanced Electronic Substrates for Medical Device Applications

Anti-Counterfeit, Miniaturized, and Advanced Electronic Substrates for Medical Device Applications Anti-Counterfeit, Miniaturized, and Advanced Electronic Substrates for Medical Device Applications Rabindra N. Das, Frank D. Egitto, and How Lin Endicott Interconnect Technologies, Inc., 1093 Clark Street,

More information

Flexible Solutions. Hubert Haidinger Director PE/CAM BU Industrial & Automotive 5.June 2013. www.ats.net

Flexible Solutions. Hubert Haidinger Director PE/CAM BU Industrial & Automotive 5.June 2013. www.ats.net Flexible Solutions Hubert Haidinger Director PE/CAM BU Industrial & Automotive 5.June 2013 www.ats.net Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13 A-8700 Leoben Tel +43 (0) 3842

More information

INTERNATIONAL ATOMIC ENERGY AGENCY INSTRUMENTATION UNIT SMD (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999

INTERNATIONAL ATOMIC ENERGY AGENCY INSTRUMENTATION UNIT SMD (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999 (SURFACE MOUNTED DEVICES) REPAIR S. WIERZBINSKI FEBRUARY 1999 (SURFACE MOUNTED DEVICES) REPAIR 1 TABLE OF CONTENTS PAGE 1. INTRODUCTION 3 2. ADVANTAGES 4 3. LIMITATIONS 4 4. DIALECT 5 5. SIZES AND DIMENSIONS

More information

Photonic Interconnect Technologies for Data Center and HPC in the EU Project

Photonic Interconnect Technologies for Data Center and HPC in the EU Project Tu.4.H.3 Photonic Interconnect Technologies for Data Center and HPC in the EU Project PhoxTroT Tolga Tekin and Dimitris Apostolopoulos National TU of Athens tolga.tekin@izm.fraunhofer.de apostold@mail.ntua.gr

More information

POWER FORUM, BOLOGNA 20-09-2012

POWER FORUM, BOLOGNA 20-09-2012 POWER FORUM, BOLOGNA 20-09-2012 Convertitori DC/DC ad alta densità di potenza e bassa impedenza termica. Massimo GAVIOLI. Senior Field Application Engineer. Intersil SIMPLY SMARTER Challenges when Designing

More information

Failure Analysis (FA) Introduction

Failure Analysis (FA) Introduction Failure Analysis (FA) Introduction (III - Reliability ) Tung-Bao Lu 1 of 23 Reliability Stress Stress Reliability Geberal Condition Temperature Humidity Electrical Others Precondition Baking/L3/Reflowing

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

The Future of Data Storage

The Future of Data Storage The Future of Data Storage Principles, Potential and Problems Hans Coufal IBM Almaden Research Center Areal Density Megabits/in2 arpers2001ab2.prz 1E+6 10 6 1E+5 10 5 1E+4 10 4 1E+3 10 3 1E+2 10 2 1E+1

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble)

Microstockage d énergie Les dernières avancées. S. Martin (CEA-LITEN / LCMS Grenoble) Microstockage d énergie Les dernières avancées S. Martin (CEA-LITEN / LCMS Grenoble) 1 Outline What is a microbattery? Microbatteries developped at CEA Description Performances Integration and Demonstrations

More information

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms Frontiers of Characterization and Metrology for Nanoelectronics Hilton Dresden April 14-16, 2015 Industry Needs Are Changing Moore

More information

Excerpt Direct Bonded Copper

Excerpt Direct Bonded Copper xcerpt irect Bonded Copper Presented by ouglas C. Hopkins, Ph.. 312 Bonner Hall University at Buffalo Buffalo, Y 14620-1900 607-729-9949, fax: 607-729-7129 Authors thank Curamik lectronics A member of

More information

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik:

Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Flexible Mehrlagen-Schaltungen in Dünnschichttechnik: Technologie-Plattform für Intelligente Implantate A. Kaiser, S. Löffler, K. Rueß, P. Matej, C. Herbort, B. Holl, G. Bauböck Cicor Advanced Microelectronics

More information

Intel Quark SoC X1000

Intel Quark SoC X1000 Thermal and Mechanical Design Guide April 2014 Document Number: 330259-001 Legal Lines and Disclaimers INFORMATION IN THIS DOCUMENT IS PROVIDED IN CONNECTION WITH INTEL PRODUCTS. NO LICENSE, EXPRESS OR

More information

Mounting Instructions for SP4 Power Modules

Mounting Instructions for SP4 Power Modules Mounting Instructions for SP4 Power Modules Pierre-Laurent Doumergue R&D Engineer Microsemi Power Module Products 26 rue de Campilleau 33 520 Bruges, France Introduction: This application note gives the

More information

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications)

Power Dissipation Considerations in High Precision Vishay Sfernice Thin Film Chips Resistors and Arrays (P, PRA etc.) (High Temperature Applications) VISHAY SFERNICE Resistive Products Application Note ABSTRACT On our thin film chips resistors and arrays the main path for the heat, more than 90 %, is conduction through the body of the component, the

More information

Opportunities and Challenges for Fan-out Panel Level Packaging (FOPLP)

Opportunities and Challenges for Fan-out Panel Level Packaging (FOPLP) Opportunities and Challenges for Fan-out Panel Level Packaging (FOPLP) T. Braun ( 1 ), M. Töpper ( 1 ), S. Raatz ( 1 ), S. Voges ( 2 ), R. Kahle ( 2 ), V. Bader ( 1 ), J. Bauer ( 1 ), K.-F. Becker ( 1

More information

Integrated Circuit Packaging and Thermal Design

Integrated Circuit Packaging and Thermal Design Lezioni di Tecnologie e Materiali per l Elettronica Integrated Circuit Packaging and Thermal Design Danilo Manstretta microlab.unipv.it danilo.manstretta@unipv.it Introduction to IC Technologies Packaging

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Volumes. Goal: Drive optical to high volumes and low costs

Volumes. Goal: Drive optical to high volumes and low costs First Electrically Pumped Hybrid Silicon Laser Sept 18 th 2006 The information in this presentation is under embargo until 9/18/06 10:00 AM PST 1 Agenda Dr. Mario Paniccia Director, Photonics Technology

More information

FEDSM2014-21213. Flow Boiling Heat Transfer Enhancement in Subcooled and Saturated Refrigerants in Minichannel Heat Sinks

FEDSM2014-21213. Flow Boiling Heat Transfer Enhancement in Subcooled and Saturated Refrigerants in Minichannel Heat Sinks Proceedings of the ASME 2014 4th Joint US-European Fluids Engineering Division Summer Meeting and 12th International Conference on Nanochannels, Microchannels, and Minichannels FEDSM2014 August 3-7, 2014,

More information

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Printed Circuits Danilo Manstretta microlab.unipv.it/ danilo.manstretta@unipv.it Printed Circuits Printed Circuits Materials Technological steps Production

More information

High Density SMT Assemblies Based on Flex Substrates

High Density SMT Assemblies Based on Flex Substrates High Density SMT Assemblies Based on Flex Substrates Robert Larmouth, James Keating Teledyne Electronic Technologies 110 Lowell Rd., Hudson, NH 03051 (603) 889-6191 Abstract The industry trend to shrink

More information

3D System-in-Package : Technology Improvements for Volume Manufacturing

3D System-in-Package : Technology Improvements for Volume Manufacturing 3D System-in-Package : Technology Improvements for Volume Manufacturing C. Faure 1, A. Val 1, P. Couderc 2, N. Chandler 3, E. Preziosi 4, Y. Ousten 5, B. Levrier 5 1 SOLECTRON France, 2 3D Plus, 3 BAE

More information

(11) PCB fabrication / (2) Focused assembly

(11) PCB fabrication / (2) Focused assembly Company Fact Sheet TTM Technologies, Inc. is a world-wide leader in the manufacture of technologically advanced PCBs, backplane and sub-system assemblies. Our Global Presence / Local Knowledge approach

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

SiP Solutions for IoT / Wearables. Pin-Chiang Chang, Deputy Manager, SPIL

SiP Solutions for IoT / Wearables. Pin-Chiang Chang, Deputy Manager, SPIL SiP Solutions for IoT / Wearables Pin-Chiang Chang, Deputy Manager, SPIL Electronic Products Integration Trend Year ~2000 2010 2015 Main Stream Products PC / Notebook Mobile Phone / Tablet IoT / Wearables

More information

0.08 to 0.31 mils. IC Metal Interconnect. 6 mils. Bond Wire. Metal Package Lead Frame. 40 mils. PC Board. Metal Trace on PC Board 18507

0.08 to 0.31 mils. IC Metal Interconnect. 6 mils. Bond Wire. Metal Package Lead Frame. 40 mils. PC Board. Metal Trace on PC Board 18507 3 PACKAGING Packaging the IC chip is a necessary step in the manufacturing process because the IC chips are small, fragile, susceptible to environmental damage, and too difficult to handle by the IC users.

More information