Lab1: TSRI Environment

Size: px
Start display at page:

Download "Lab1: TSRI Environment"

Transcription

1 Lab1: TSRI Environment Lab 1: Basic Synthesis Design Flow Before you start: (TSRI) Login WS trainaxx Account : trainaxx Passowrd: train0xx Uncompress lab file: ( 請在自己的 home directory 下打 ) unix% cd unix% rm -rf SYNOPSYS unix% tar xvfz ~andy/dc_lab_ tgz Setting Design Compile Environment: unix% cp ~andy/.tcshrc ~ unix% cd ~/SYNOPSYS Download Lab File: Design Compile Lab Download: These labs take approximately 0.5 hour to complete S.S. WANG / Lab 1-1 Lab1: NCHU Environment Lab 1: Basic Synthesis Design Flow Before you start: (NCHU) Login WS Account : trainax Passowrd: trainax Uncompress lab file:( 請在自己的 home directory 下打 ) unix% cd unix% rm -rf SYNOPSYS unix% tar xvfz ~andy/dc_lab_ tgz Setting Design Compile Environment: unix% cp ~andy/.tcshrc ~ unix% cd ~/SYNOPSYS Download Lab File: Design Compile Lab Download: These labs take approximately 0.5 hour to complete S.S. WANG / Lab 1-2

2 Lab1: NCKU Environment Lab 1: Basic Synthesis Design Flow Before you start: (NCKU) Login WS cicxx Account : cicxx Passowrd: cicxx@ncku Uncompress lab file: ( 請在自己的 home directory 下打 ) unix% cd unix% rm -rf SYNOPSYS unix% tar xvfz ~cic/dc/dc_lab_ tgz Setting Design Compile Environment: unix% cp ~cic/dc/.cshrc ~ unix% cd ~/SYNOPSYS Download Lab File: Design Compile Lab Download: These labs take approximately 0.5 hour to complete S.S. WANG / Lab 1-3 Lab 1-1: Basic Synthesis Design Flow 1. Change directory SYNOPSYS/lab1 unix% cd ~/SYNOPSYS/lab1 2. Before invoking design vision, please check if there is a file named.synopsys_dc.setup please take a look at this file to see what is defined in this file, then invoke the design vision XG mode unix% ls -la.synopsys_dc.setup unix% gedit.synopsys_dc.setup & unix% dv 3. Read the file lab1.v in Use the dv menu bar File/Read to read the lab1.v in. Is there any problem? What is the error #? S.S. WANG / Lab 1-4

3 Find error 4. Use man #error_no or click the #error_no method 2 method 1 5. How can we fix the error for this error in lab1.v? (You can use vi or other text editor) 6. Read the file lab1.v again to see if there still have any error message or warning message. What else shall we need to modify? S.S. WANG / Lab 1-5 Synthesize Your Design 7. Compile the design Use the dv menu bar Design/Compile Design to synthesize your design. After synthesis, look at the hierarchy view, what happened? One more lab1_dw01_add_0 appears. Why does this lab1_dw01_add_0 appear? ( 合成後 ) S.S. WANG / Lab 1-6

4 Create Design Schematic 8. Create Schematic to see the result after synthesis. How many adders are there used after synthesis? 9. Choose the Ref named lab1_dw01_add_0, Create Schematic to see the structure of this adder. What type of the adder is synthesized cla or ripple or other form? 2 1 S.S. WANG / Lab 1-7 Report timing & area & resources 10. To select the lab1. Use Design/Report Design Resources to see what type of adder is used by DC? 11. Use the dv menu bar Design/Report Area and Timing/Report Timing Path to see the timing & area. area =, timing= 1 2 S.S. WANG / Lab 1-8

5 Set Timing Constraints 12. In the Symbol View, choose all input and output ports and in the dv menu bar, choose Attributes/Optimization Constraints/Timing Constraints Set the Maximum Delay to 0.8, then repeat the step 7. area =, timing= S.S. WANG / Lab Change Different DesignWare 13. Use Design/Report Design Resources to see what type of adder is used by DC? It s cla or ripple or pparch or other implementation form?. What causes this? 14. How to change the type of adder into cla structure? 2 1 S.S. WANG / Lab 1-10

6 Report timing & area & resources 15. Use Design/Report Design Resources to see what type of adder is used by DC? 16. Design/Report Area & Timing/Report Timing Path, is there any difference with step12? area=, timing= S.S. WANG / Lab 1-11 Lab 1 Answers / Solutions 3. Error Message: VER 在 output [8:0] z; 之後多加ㄧ行 reg [8:0] z; ( 或 output reg [8:0] z; 比較快!) 6. 修改 or b or c) 這行改成 => 雖然新版 DC 沒檢查出來但建議修改! 7. 因為合成之後 DC 會將 RTL Code 內的 +, 用實際的 DesignWare Library 取代之 個 ( 因為有用到 Resource Sharing 技巧 ) 9. 從 schematic view 觀察, 可猜測是 Ripple adder 10. 用 report_design_resource 來觀察的確是 rpl 11. Area= um 2, Timing= ns 12. Area= um 2, Timing= ns 13. pparch. 因為我們剛剛有針對 Combination 電路設定 Timing Constraints, Tool 幫我們隨意挑選一個可以滿足 0.8ns 以內完成計算的加法器. 14. set_implementation cla r368 (cell name 不見得一定是 r368 僅供參考!) 設定完之後需要再作ㄧ次合成 => compile 15. cla 16. Area= um 2, Timing= ns. ( 所以 cla 不見得是最好的, 只是很有名!) S.S. WANG / Lab 1-12

7 Lab2-1: Block Level Design (TSMC90) Setting Design Constraints & Compile Design & Report & Analysis These labs take approximately 1 hour to complete S.S. WANG / Lab 2-1 Introduction - Microwave Timer System The top of the hierarchical design consists of 3 blocks, microwave, timer and display. microwave contains a state machine, micro_st, which generates the control signal, and a loader that loads the time we want to cook, cook_time[15:0], to the timer. timer will decrease the cook_time per second, and display unit uses four 7 segments LEDs to display the cook time. As the cook time reach zero, these LED will display "done" to inform the completion of cooking. top display microwave timer converter loader micro_st S.S. WANG / Lab 2-2

8 Microwave Timer Specification The input and output of the top design is described below. clk is the synchronous clock of this design. reset is used to reset the microwave timer. If reset is zero, the design is reset to IDLE state. Until it changed to 1, then the timer start work. test pin is used to test if LEDs are OK. When test is 1, LED will display "8888". cook_time is the time we want to cook. set_time is used to set the cook time. When set_time is 1, cook_time is load into the timer. start_cook indicates starting of cook. When start_cook is changed to 1, cooking starts, and the cook time display is decreased one per second as time passes by. min_msb_led, min_lsb_led, sec_msb_led and sec_lsb_led are the outputs of BCD-to-7-segment converter, which are used to control the LEDs. S.S. WANG / Lab 2-3 Getting Start 1. Enter the directory SYNOPSYS/lab2/lab2-1, unix% cd ~/SYNOPSYS/lab2/lab2-1 unix% ls al 本 Lab 之 Script 是 script.tcl 不喜歡打字的同學可以直接用! 2. Check the contents of.synopsys_dc.setup. unix% gedit.synopsys_dc.setup & 3. Invoke the Design Vision XG mode unix% dv 4. Check the search path, link library, target library and symbol library. We will use slow.db fast.db tpzn90gv3wc.db tpzn90gv3lt.db as link library and target library, generic.sdb as symbol library. File -> Setup If it is ok, Cancel Compare it with the content of.synopsys_dc.setup gedit.synopsys_dc.setup & S.S. WANG / Lab 2-4

9 Read Design 切記 : 5. Read files File -> Read File type: All Files (.*) Click on converter.pla Open 6. To read other verilog files from dir1 & dir2. File -> Analyze Add dir1/top.v dir2/display.v, loader.v, micro_st.v, timer.v, microwave.v Select Enter COOK_TEMP Library OK 注意 : File -> Elaborate Choose COOK_TEMP Library Design: top(verilog) OK 也可使用 AutoRead 方法直接取代步驟 5, 6 3 S.S. WANG / Lab 2-5 Setting Operating Condition & Wire Load 7. To set Operating Conditional Attributes > Operating Environment >Operating Conditions Maximum operating condition: slow slow Minimum operating condition: fast fast 8. Attributes Operating Environment Wire Lode Click on tsmc090_wl10 OK S.S. WANG / Lab 2-6

10 Setting All Clock Constraints 9. View the symbol view of top and click clk port 10. Use Attributes -> Specify Clock to create clock signal (Spec: 4ns, duty cycle:50%, fix clock network & hold time, clock skew 0.1ns, and network latency 1ns). You can set the clock skew and latency in design_vision command line. design_vision> set_clock_uncertainty 0.1 [get_clocks clk] design_vision> set_clock_latency 1 [get_clocks clk] design_vision> set_input_transition 0.5 [all_inputs] design_vision> set_clock_transition 0.1 [get_clocks clk] S.S. WANG / Lab Setting Input Driving Strength / Output Loading 11. Setting input driving strength for clk port design_vision> set_driving_cell -library slow -lib_cell BUFX4 -pin {Y} [get_ports clk] 12.Setting input driving strength for all input port except clk design_vision> set_driving_cell -library slow -lib_cell DFFX1 pin {Q} \ [remove_from_collection [all_inputs] [get_ports clk]] 13. Setting output loading for all output port design_vision> set_load [load_of slow/dffx1/d"] [all_outputs] 不喜歡打字的同學可以將 script.tcl 檔案打開, 複製 step11~step13 的指令後, 直接執行! S.S. WANG / Lab 2-8

11 Setting Input /Output Delay 14. Setting input delay Select all input ports Attributes Operating Environment Input Delay Relative To Clock is set clk Max Rise & Fall as 1 Min Rise & Fall as 0.2 OK 15. Select all the output ports Attributes Operating Environment Output Delay Relative To Clock is set to clk Max Rise and Fall as 1 Min Rise and Fall as 0.1 OK S.S. WANG / Lab 2-9 Setting DRC & Check Design 16.Setting area constraints and design rule constraints in design_vision command line design_vision> set_max_area 0 design_vision> set_max_fanout 10 [all_inputs] design_vision> set_max_transition 0.3 [all_inputs] 17. Check design design_vision> check_design -multiple_designs What's the log message? 18. Uniquify the design converter to fix the above warning. (You also type uniquify) select top Hierarchy -> Uniquify -> Hierarchy OK Cell C133, C139, C143, C147 這些 Cell 合成後就會被最佳化掉了所以在此可以忽略掉! Multiple Instance 問題 S.S. WANG / Lab 2-10

12 Report all Constraints Before Compiler 19. Generate the Port and Design reports to see whether the attributes have been properly set Design -> Report Design, Design -> Report Port (click on verbose), Design -> Report Clocks OK 20. Save design and setup file Save design Select top File -> Save As Set File Name as top_before_compile.ddc, File Format as ddc Click on Save All Designs in Hierarchy Save Save setup file File -> Save Info -> Design Setup top_setup.dc Save Examine the top_setup.dc file in your unix shell unix% gedit top_setup.dc & (or vi top_setup.dc) S.S. WANG / Lab 2-11 Compile Design 21. Compile design Select top Design -> Compile Design set Map / Area Effort as high OK (or design_vision> compile -boundary -map_effort high -area_effort high) Examine which designs were optimized? this is what known as "Hierarchical Compile 22. Explore the schematic Create Schematic to see the result after synthesis. 23. Work with some view commands or zoom tool View -> Zoom -> Zoom In View -> Zoom -> Zoom Out View -> Zoom -> Full View View -> Zoom -> Zoom to Selection 可藉由滑鼠左鍵於電路圖上作局部放大 24. Select top File -> Save As Set File Name as top_after_compile.ddc, File Format as ddc Click on Save All Designs in Hierarchy Save S.S. WANG / Lab 2-12

13 Report and Find Critical Path 25. Check the area, timing, constraints Select top Design Report Area Timing Report Timing Design Report Constraints (click on verbose) Does all of the constraint meet? Which one is the critical path? S.S. WANG / Lab 2-13 Report Multiple Timing Path 26. Generate another version of a timing report Timing Report Timing Max paths per group: 5 Path type: full OK Examine is the critical path only one? 27. Go back top, generate report of Reference, Hierarchy for top Design Report Design Hierarchy Design Report Reference S.S. WANG / Lab 2-14

14 Dynamic Power Optimization 28. Before power optimization, you can see the timing & area & power first. cell area =, timing=, power= 29. Setting power constraints and gate-level power optimization design_vision> set_dynamic_optimization true design_vision> compile -inc 30. After power optimization, you can see the timing & area & power. cell area =, timing=, power= Dynamic Power Improve Capability(%)=, Timing meet constraint? S.S. WANG / Lab 2-15 Lab 2-1 Answers / Solutions 17. Design 'converter' is instantiated 4 times. (LINT-45) Cell 'display/convmm' in design 'top Cell 'display/convml' in design 'top Cell 'display/convsm' in design 'top' Cell 'display/convsl' in design 'top 21. 從 Design 最上層 top 開始ㄧ直到其最下層之所有 block 全部都會被合成與最佳化! ( 因此本 lab 是使用 : top-down 合成方法! 較為省事不需要每個 sub-module 逐一設定 Constraints) 25. No (area constraint not meet)<== 但沒關係因為 area 只要設計者覺得 OK 即可! Critical Path: Start Point: test -> End Point : timer/min_lsb_next_reg[1]/d 26. 最長的 Path Delay(Critical Path) 確實只有一條, 但是從 Report Timing 不難發現, 仍有相當多條的 Path 其 Delay 相當逼近 Critical Path, 不過這些 Path 其實都在附近而已. 28. Cell area = um 2, timing=3.9972ns(met constraint), power= dynamic: uw, leakage: uw 30. Cell area = um 2, timing=3.9907ns(met constraint), power= dynamic: uw, leakage: uw Dynamic Power Improve= % Timing meet constraint? Yes (Power 改善很少, Timing/Area 沒什麼變 ) 結語 : 由於 T90 WLM 太過悲觀, 實際上 Power 改善程度絕對不止這樣! 在下一個 Lab 2-2, 我們會故意拿掉 WLM, 測試 DC 在 Power Improve 程度為何? 如果下一個 Lab 實驗結果真的很好, 可以見得 WLM 不準確也嚴重影響到 Power 最佳化了! S.S. WANG / Lab 2-16

15 Lab2-2: Leakage Power Opt. by Multi-V t (TSMC90) In this lab, we will learn how to reduce the leakage power using TSMC90 Multi-Vt standard cell. The design is the same to lab2-1 These labs take approximately 0.5 hour to complete S.S. WANG / Lab 2-17 Multi-V t Leakage Power Opt. 1. Change directory to synthesis run directory unix% cd ~/SYNOPSYS/lab2/lab2-2/ 2. View the script file, what s the special setting in this script file? unix% gedit script.tcl & 3. Start Design Vision by command unix% dv 4. Execute script file to Read Design & Set Constraints & Synthesis design_vision> source script.tcl 5. Before power optimization, you can see the timing & area & power first. cell area =, timing=, Dynamic & Leakage power= S.S. WANG / Lab 2-18

16 Multi-V t Leakage Power Opt. 6. Setting HVT-Cell Library, power constraints and gate-level leakage power optimization design_vision> set target_library "slow.db slow_hvt.db fast.db fast_hvt.db \ tpzn90gv3wc.db tpzn90gv3lt.db design_vision> set_leakage_optimization true design_vision> compile -inc 7. After power optimization, you can see the timing & area & power. Cell area =, timing=, Dynamic & Leakage power= Dynamic & Leagage Power Improve(%)=, Timing Meet? How to report the ratio of RVT and HVT Cells? HVT Cells Ratio(%)=, RVT Cells Ratio(%)= S.S. WANG / Lab 2-19 Lab 2-2 Answers / Solutions 2. a. 由於 T90 WLM 太過悲觀, 本 lab 故意暫時拿掉, 測試 DC 在 Power Improve 程度為何! b. 故意設定一個 return 指令, 可以讓 script 跑到要的地方後自動中斷之後可以 step-by-step 慢慢執行! 4. Cell area = um2, timing= ns(meet timing constraint), Dynamic Power: uw Leakage Power: uw 6. Cell area = um2, timing= ns(meet timing constraint), Dynamic Power: uw Leakage Power: nw Dynamic Power Improve= 6.20% Leakage Power Improve= 72% ( 這才是正常的表現水準!) Meet Timing constraint? Yes (Leakage Power 改善不少, Area/Timing 仍然差不多!) set HVt_lib "slow_hvt.db:slow" set RVt_lib "slow.db:slow" set_attribute [get_libs $HVt_lib] default_threshold_voltage_group HVt -type string set_attribute [get_libs $RVt_lib] default_threshold_voltage_group RVt -type string report_threshold_voltage_group HVT= 89.08%, RVT=10.92% (DC 換了 89.08%HVT cells, 來減少 72% 的 leakage 的功率消耗!) S.S. WANG / Lab 2-20

17 Labs: CHIP Level Design Using T90 CBDK Lab 3-1: Cell-Based IC Contest Chip Level Synthesis Lab 3-2: Cell-Based IC Contest DC-T CHIP Synthesis These labs take approximately 1.0 hour to complete S.S. WANG / Lab 3-1 Calculation System Block Diagram 題目放在 : ~/SYNOPSYS/lab3/doc S.S. WANG / Lab 3-2

18 Lab 3-1 : CHIP-level Synthesis 1. Change directory to lab3-1 unix% cd ~/SYNOPSYS/lab3/lab View the lab3-1 design directory unix% gedit CS.v & unix% gedit CHIP.v & 3. View all *.tcl files unix% gedit 00_run.tcl & (run all script file) unix% gedit 01_import.tcl & (import all design & CHIP-Level Constraints) unix% gedit 02_compile.tcl & (compile design Constraints) unix% gedit 03_output.tcl & (save file script file) 4. Execute all script files to finish this lab unix% dc_shell -f./00_run.tcl tee run.log ( 執行完後若要開 GUI 可以打 start_gui) 5. Check the area, timing Design Report Area What's the cell area of this design? Timing Report Timing What s the timing of CHIP-Level (current_design CHIP)? What s the delay of output pad Y[7]? What s the delay of input pad X[3]? Design Report Power What's the power of this design? Dynamic Power:, Leakage Power: S.S. WANG / Lab 3-3 Timing Simulation 6. View test bench file and add sdf to this file ( 在此已改好, 所以不用另外加入!) initial $sdf_annotate(`sdffile, CHIP); 7. Begin to simulate synthetic result. Is this function PASS? ( 有興趣者可用 nwave 開.vcd 來看波形 ) unix% ncverilog testfixture.v chip_syn.v -v tsmc090.v -v tpzn90gv3.v +access+r S.S. WANG / Lab 3-4

19 Power Analysis using PrimeTime-PX 8. Before invoking PT-PX, please check.synopsys_pt.setup file unix% ls -la.synopsys_pt.setup unix% gedit.synopsys_pt.setup & What is the difference between.synopsys_dc.setup and synopsys_pt.setup? 9. invoking PrimeTime-PX unix% pt_shell 10. Run PrimeTime-PX Script (pt_script.tcl) to calculate power pt_shell> source pt_script.tcl What s the difference between CHIP_pt.tcl and CHIP_dc.tcl? How to get switch activity information? What s the worst case average power and peak power: What s the best case average power and peak power: What s the core (top) circuit power: S.S. WANG / Lab 3-5 Lab 3-1 Answers / Solutions 5. Cell Area= um 2 CHIP Timing = ns Dynamic Power = mw Leakage Power = uw 實際值 Y[7] pad delay = 3.59ns ( 方法 : report_timing -to [get_ports Y[7]] ) X[3] pad delay = 0.88ns ( 方法 : report_timing -from [get_ports X[3]] ) 8. 由於 PT-PX 只是 Power 分析軟體不需要合成所以 dw_foundation.sldb 相關設定皆不須要唯一需要僅 link_library 的內容! 10. DC 與 PT-PX 主要差在但須砍掉 一個作合成 一個作分析 因此 CHIP_pt.tcl 是由 CHIP_dc.tcl 複製而來 set_dont_touch_network [get_clocks clk] set_fix_hold [get_clocks clk] 因此兩行僅在合成時才會發揮作用所以要移除! Switch Activity 資訊是紀錄 Cell 在真實模擬中其 On/Off 狀況因此該資訊必續作 gate-level sim 才可得然而 PT-PX 讀取該檔案必須要未壓縮格式因此 gate-level sim 必須產生 VCD 格式以便於 PT-PX 分析之用! read_vcd -strip_path test/chip./chip_syn.vcd -time { } 其中 test 為 TestBench module name, CHIP 為 TestBench 中之 Cell name! 該 design 真實運算期間可以透過 waveform 觀察出 50 ~ 1055 期間才有真的在作運算故設定此 Range 以免影響到 average power 值之正確性! S.S. WANG / Lab 3-6

20 Lab 3-1 Answers / Solutions 10. Power (worst case) Corner => 1.1V Power (best case) Corner => 0.9V 正好與 Timing 相反 Timing (worst case) Corner => 0.9V Timing (best case) Corner => 1.1V 因此作 worst case Power Analysis 要先切換至 fast library set_operating_conditions fast report_power 反之作 best case Power Analysis 要先切換至 slow library set_operating_conditions slow report_power 因此 best case: average power = mw peak power = mw ( 差約 10 倍 ) worst case: average power = mw peak power = mw ( 差約 10 倍 ) top 電路在 APR 時會將其擺在 Core 區塊所以針對此分析可以清楚知道未來作 CHIP 其 Core Power Pad 要幾組才夠便相當重要了! Core Power = Total CHIP Power Total IO Power = = 8.5 mw 此值說明了未來 Layout 時只需要用到一組 Core Power/Ground Pad 即可! S.S. WANG / Lab 3-7 Lab3-2: DC-Topographical Flow Lab You will learn the new synthesis flow without wire load model (WLM). The design is same to lab3-1 These labs take approximately 0.5 hour to complete S.S. WANG / Lab 3-8

21 DC-topographical Flow 1. Change directory to lab3-2 directory unix% cd ~/SYNOPSYS/lab3/lab View the.synopsys_dc.setup and script files. What s the difference between lab3-1 and lab3-2 script files? unix% gedit.synopsys_dc.setup & unix% gedit 01_import.tcl & unix% gedit 02_compile.tcl & unix% gedit 03_output.tcl & 3. Enter the design vision topo mode unix% dc_shell -topo -f./00_run.tcl tee run.log ( 注意 : 第一次作本 lab, 因為 compile_ultra 會分析你所有的 db file 並建立 alib 目錄, 所以會比較久!) 4. What s the timing & area & power of this design after synthesis? cell area =, timing=, Dynamic Power:, Leakage Power: S.S. WANG / Lab 3-9 Lab 3-2 Answers / Solutions 2. 在.synopsys_dc.setup 裡多了一行設定 : set_tlu_plus_files -max_tluplus phy_lib/cln90_1p09m+alrdl_typical.tluplus -tech2itf_map phy_lib/t90.map 在 01_import.tcll 裡多了一行設定 : create_mw_lib CS_MW -technology phy_lib/tsmc090_9lm_2thick.tf -open -mw_reference_library "phy_lib/tsmc090g phy_lib/tpzn90gv3" 在 02_compile.tcl: a. 多一行 set_power_prediction true 由於 CTS 尚未處理 (APR 才會處理 ), 所以要請 Tool 針對 Clock tree power 作估測! b. 合成的地方, 要將 compile 指令改成 compile_ultra ( 多加 -no_auto 即不要做 auto_ungroup 功能 ) 在 03_output.tcl: 多一行 write_parasitics -format reduced -output chip_syn.spef 提供給有需要用 PT-PX 的同學, 分析 power 使用! 4. Cell area = um 2, timing=9.7584ns(meet timing constraint 此值表示 APR 也可以達成的數值 ), Power=> dynamic: mw, leakage: uw (Power 比 lab3-1 略高是因為多了 clock tree 估測的 power 值 ) 從本 Lab 可以得知 : 1. 假若後段 APR 是使用 IC Compiler, 從 DC-T mode 提前告知本晶片 APR 後效能大約落在 ns! 2. 像 Lab3-1 有受到 WLM 不準確的影響會讓設計者以為只能跑到 90 幾 MHZ 但從 DC-T mode 可以看出本設計真實效能能上 100 多 MHZ 這便會讓非做到 100MHZ 以上的同學不必再重新改架構也不必重新寫 Code! 可以看出 One-pass Timing Closure Design Flow 的重要性! S.S. WANG / Lab 3-10

22 Labs: Retiming & Increase Pipeline Stage Lab 4: Register Retiming These labs take approximately 0.5 hour to complete S.S. WANG / Lab 4-1 Lab 4: Retiming Registers How can we speed up the arithmetic? by retiming registers out <= (a*b)/c; a b c div out Target: 333MHZ clock period = 3.00 ns slack violation: S.S. WANG / Lab 4-2

23 Getting Start 1. Enter the directory SYNOPSYS/lab4 unix% cd ~/SYNOPSYS/lab4 2. Examine the script.tcl file in your unix shell unix% gedit script.tcl & What s the clock period in script.tcl file? 3. Invoke the Design Vision and execute script file as well unix% dv -f script.tcl 4. Explore the schematic Create Design Schematic to see the result after synthesis. 5. Check the area, timing Select top Design Report Area Timing Report Timing What's the cell area of this design? What s the timing of this design? Does the design meet timing constraint?, slack= What's the timing of MUL?, meet timing constraint?, slack= What's the timing of DIV?, meet timing constraint?, slack= If the slack of multiplier and divider are negative, whether this design can still improve timing by retiming command? Why? S.S. WANG / Lab 4-3 Register Retiming 6. Save the synthesis result before retiming design_vision> write_file format ddc -hier output muldiv_before_retiming.ddc 7. Retiming Register (DC-Ultra Instruction) design_vision> optimize_registers 8. Explore the schematic Create Schematic to see the result after retiming registers. 9. Check the area, timing Design Report Area Timing Report Timing What's the cell area of this design? What s the timing of this design? Does the design meet timing constraint?, slack= Now, what s the timing of output stage?, slack= S.S. WANG / Lab 4-4

24 Increase Pipeline Stage 10. If we want to improve the performance of at least 450MHZ, then how to achieve this goal? 11. Modify script.tcl file, ( 不想修改者可以直接用 script_m.tcl 執行 ) a. read_file -format verilog muldiv_m.v b. clock period to 2.20 ns c. compile -boundary_optimization -map_effort high 12. Invoke the Design Vision and execute script file again unix% dv -f script_m.tcl 13. Before retiming, check synthesis result What's the cell area of this design? What s the timing of input stage? What s the timing of output stage? What s the timing of MUL & DIV? 14. Retiming Register design_vision> optimize_registers 15. After retiming, check retiming result What's the cell area of this design? What s the timing of input stage? What s the timing of output stage? What s the timing of MUL & DIV? What did you get? S.S. WANG / Lab 4-5 Lab 4 Answers / Solutions (1/5) ns 5. cell area= um 2 timing= 4.63 ns No slack= ns MUL timing = 3.39 ns (report_timing -through mult_11_s2/* 即可查出 ) No MUL slack = ns DIV timing = 4.63 ns (report_timing -through div_13_s2/* 即可查出 ) No DIV slack = ns Yes, 因為本設計 DIV 的下一級 pipeline stage( 即 output stage) 還有多出 1.98ns 的空間使用 Retiming 足以將 MUL & DIV 兩者目前缺乏的 timing 補足! ( 雖然 (0.39ns+1.63ns)> 1.98ns 差不到 0.05ns 關於這只要在作個簡單 Timing OPT 一下即可滿足規格! 後續動作作下去便知!) PS: Output Stage slack => 可以用 report_timing -to [all_outputs] 指令查看出來! S.S. WANG / Lab 4-6

25 Lab 4 Answers / Solutions (2/5) 9. cell area= um 2 timing= 3.00 ns Yes, meet timing constraint slack = 0.00 ns (DC 只需花個幾秒鐘就改善了 56.33% 效能! 這是就算使用 compile_ultra timing 永遠也達不成的境界!) output stage timing = 3.00ns slack = 0.00 ns ( 可以用 report_timing -to [all_outputs] 指令查看出來!) Retiming 後的電路結構與 Timing 分布如下 : ( 註 : input stage 可以用 report_timing from [all_inputs] 看出! 請自行試驗!) MHZ 達成目標! S.S. WANG / Lab 4-7 Lab 4 Answers / Solutions (3/5) 10. 因為本設計已用 Retiming 最佳化過假若又要大幅提升效能至 450MHZ 最快方法就是將原本設計由 3- stage pipeline 提升到 4-stage pipeline 修改 RTL Code 如下 : ( 懶得改的同學可以直接使用 muldiv_m.v) 修改完 RTL Code 其電路架構圖如下頁 S.S. WANG / Lab 4-8

26 Lab 4 Answers / Solutions (4/5) 修改後此時電路結構會像 4-stage Pipeline 結構 : 想達成 450MHZ 目標 Retiming 後其電路結構 &Timing 約略如下 : 目標達成 450MHZ S.S. WANG / Lab 4-9 Lab 4 Answers / Solutions (5/5) 13. cell area= um 2 input stage timing = 0.65ns ( 這區域沒有 comb 但卻被 input delay & setup time 用去 ) output stage timing = 1.11ns ( 這區域沒有 comb 但卻被 clk-to-q & output delay 用去 ) MUL stage timing = 3.06ns DIV stage timing = 4.12ns 15. cell area= um 2 input stage timing = 2.15ns ( 這區域原先沒有 comb 現在有 MUL 的 Cell) output stage timing = 2.20ns ( 這區域原先沒有 comb 現在有 DIV 的 Cell) MUL stage timing = 2.20ns DIV stage timing = 2.20ns 是的 450MHZ 目標輕鬆達成! 從本實驗可以看出當 Pipeline 級數越多效能往上成長空間便越大因此同學可善用此概念寫 Code 時就盡量多增加 Pipeline 級數 Timing 收斂便會越容易有時還可以因級數多效能越容易達成總面積反而較低! 因為你有來上課學會了 Retiming 這功能將會永遠伴隨著你千萬別讓這功能睡著了 ~ 另外本 Lab 你也得到一個概念如下 : Q: 為何我在還沒切割 pipeline 之前就已經知道 4-stage pipeline 可以達到 450MHZ? A: 因為 (MUL + DIV) 的 Delay = = 8.02ns ~= 8.00ns (page Lab4-2 有寫 ) 假若分成 4-stage pipeline stage 效能可提升 4 倍因此每一級極限是 8.0/4 =2.0ns 但要考量 FF setup time & clock-to-q => 大約 0.2ns ( 此值可能更大但可以請 DC 作優化即可!) 因此 2.0ns + 0.2ns = 2.20 ns => 超過 450MHZ ( 此效能算是本題 4-stage 的極限了!) S.S. WANG / Lab 4-10

The HKICPA Accounting and Business Management Case Competition 2015-16. Secondary School Group (Level 1)

The HKICPA Accounting and Business Management Case Competition 2015-16. Secondary School Group (Level 1) The HKICPA Accounting and Business Management Case Competition 2015-16 Secondary School Group (Level 1) The HKICPA Accounting and Business Management Case Competition 2015-16 Secondary School Group (Level

More information

SHAU KEI WAN GOVERNMENT SECONDARY SCHOOL

SHAU KEI WAN GOVERNMENT SECONDARY SCHOOL 香 港 柴 灣 道 42 號 42 Chai Wan Road, Hong Kong Tel : (852) 2560 3544 Fax : (852) 2568 9708 URL : www.sgss.edu.hk Email : skwgss@edb.gov.hk 筲 箕 灣 官 立 中 學 SHAU KEI WAN GOVERNMENT SECONDARY SCHOOL --------------------------------------------------------------------------------------------------------------------------------

More information

Machine Translation for Academic Purposes

Machine Translation for Academic Purposes Proceedings of the International Conference on TESOL and Translation 2009 December 2009: pp.133-148 Machine Translation for Academic Purposes Grace Hui-chin Lin PhD Texas A&M University College Station

More information

Ex. Either we must get in line early to buy the tickets, or only scalpers INDEPENDENT CLAUSE 1 INDEPENDENT tickets will be available.

Ex. Either we must get in line early to buy the tickets, or only scalpers INDEPENDENT CLAUSE 1 INDEPENDENT tickets will be available. THIRTEENTH WEEK PAGE 1 COMPOUND SENTENCES II The COMPOUND SENTENCE A. A COMPOUND SENTENCE contains two or more INDEPENDENT clauses. B. INDEPENDENT CLAUSES CAN stand alone, so it is very easy to separate

More information

China M&A goes global

China M&A goes global China M&A goes global Hairong Li of Zhong Lun Law Firm explains the new regulations affecting inbound and outbound M&A, the industries most targeted by Chinese and foreign investors and the unique strategies

More information

Protel DXP 2004 Schematic 開 始 所 有 程 式 Altium DXP 2004

Protel DXP 2004 Schematic 開 始 所 有 程 式 Altium DXP 2004 Protel DXP 2004 Schematic 開 始 所 有 程 式 Altium DXP 2004 1 File New PCB Project 2 Save Project As Right click Project 儲 存 路 徑 不 可 以 有 中 文 3 D:\Exercise Project 儲 存 路 徑 不 可 以 有 中 文 4 Add New to Project Schematic

More information

歐 洲 難 民 潮 對 經 濟 的 影 響 The Economic Implications of Europe s Refugee Influx

歐 洲 難 民 潮 對 經 濟 的 影 響 The Economic Implications of Europe s Refugee Influx 歐 洲 難 民 潮 對 經 濟 的 影 響 The Economic Implications of Europe s Refugee Influx 沈 旭 暉 副 教 授 香 港 中 文 大 學 國 際 事 務 研 究 中 心 聯 席 主 任 Dr Simon Shen Co-Director International Affairs Research Center Hong Kong Institute

More information

Wi-Drive User Guide. (for use with Amazon s Kindle Fire) Document No. 480WID4KF-001.A01 Kingston Wi-Drive Page 1 of 15

Wi-Drive User Guide. (for use with Amazon s Kindle Fire) Document No. 480WID4KF-001.A01 Kingston Wi-Drive Page 1 of 15 Wi-Drive User Guide (for use with Amazon s Kindle Fire) Document No. 480WID4KF-001.A01 Kingston Wi-Drive Page 1 of 15 Table of Contents Introduction... 3 Requirements... 3 Supported File Types... 3 Install

More information

EW-7438RPn Mini 安 裝 指 南. 07-2014 / v1.0

EW-7438RPn Mini 安 裝 指 南. 07-2014 / v1.0 EW-7438RPn Mini 安 裝 指 南 07-2014 / v1.0 I. 產 品 資 訊 I-1. 包 裝 內 容 - EW-7438RPn Mini - CD 光 碟 ( 快 速 安 裝 指 南 及 使 用 者 手 冊 ) - 快 速 安 裝 指 南 - 連 線 密 碼 卡 I-2. 系 統 需 求 - 無 線 訊 號 延 伸 / 無 線 橋 接 模 式 : 使 用 現 有 2.4GHz

More information

Chemistry I -- Final Exam

Chemistry I -- Final Exam Chemistry I -- Final Exam 01/1/13 Periodic Table of Elements Constants R = 8.314 J / mol K 1 atm = 760 Torr = 1.01x10 5 Pa = 0.081 L atm / K mol c =.9910 8 m/s = 8.314 L kpa / K mol h = 6.6310-34 Js Mass

More information

Kingston MobileLite Wireless. (ßeta Release) Document No. 480WD+MLW.ß01 Kingston MobileLite Wireless (ßeta) Page 1 of 12

Kingston MobileLite Wireless. (ßeta Release) Document No. 480WD+MLW.ß01 Kingston MobileLite Wireless (ßeta) Page 1 of 12 Kingston MobileLite Wireless (ßeta Release) Document No. 480WD+MLW.ß01 Kingston MobileLite Wireless (ßeta) Page 1 of 12 Introduction MobileLite Wireless (simply referred to as MLW from this point forward)

More information

Case Study of a New Generation Call Center

Case Study of a New Generation Call Center Case Study of a New Generation Call Center Chiung-I Chang* and tzy-yau lee** *Department of Information Management National Taichung Institute of Technology E-mail: ccy@ntit.edu.tw **Department of Leisure

More information

Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案

Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案 DBI304 Microsoft SQL Server PDW 新世代 MPP 資料倉儲解決方案 徐園程 Sr. Technical Account Manager Thomas.Hsu@Microsoft.com 微軟資料倉儲的願景 未來趨勢 Appliance PDW AU3 新功能 Hub & Spoke 架構運用 PDW & Big Data 大綱 客戶案例分享 與其他 MPP 比較 100%

More information

EFL Business Writing with Task-based Learning Approach: A Case Study of Student Strategies to Overcome Difficulties

EFL Business Writing with Task-based Learning Approach: A Case Study of Student Strategies to Overcome Difficulties 2013 年 12 月 ISSN 1815-0373 第 十 卷 第 二 期 P217-238 EFL Business Writing with Task-based Learning Approach: A Case Study of Student Strategies to Overcome Difficulties Shu-Chiao Tsai Associate professor, Department

More information

LC Paper No. PWSC269/15-16(01)

LC Paper No. PWSC269/15-16(01) Legislative Council Public Works Subcommittee meeting on 11 June 2016 118KA Renovation works for the West Wing of the former Central Government Offices for office use by the Department of Justice and law-related

More information

Tender Document for Procurement of the Security Screening Equipment at MIA (RFQ-151)

Tender Document for Procurement of the Security Screening Equipment at MIA (RFQ-151) Tender Document for Procurement of the Security Screening Equipment at MIA (RFQ-151) Tender Time table Description Date Remark Open Tender Notice 23 April 2013 Deadline of Request for Site Visit: Bidder

More information

Ringing Ten 2016.01.30 寶 安 商 會 王 少 清 中 學 定 期 通 訊 / 通 告,2002 年 創 刊, 逢 每 月 10 20 及 30 日 派 發

Ringing Ten 2016.01.30 寶 安 商 會 王 少 清 中 學 定 期 通 訊 / 通 告,2002 年 創 刊, 逢 每 月 10 20 及 30 日 派 發 Principal s Message: Let s Sing the Song of Mirth to Celebrate the Charter of POCA Wong Siu Ching Secondary School Interact Club! 25th January, 2016 is the date of establishment of POCA Wong Siu Ching

More information

余 雋 禧 仇 慧 琳 宣 教 士 夫 婦

余 雋 禧 仇 慧 琳 宣 教 士 夫 婦 余 雋 禧 仇 慧 琳 宣 教 士 夫 婦 Email & Skype: missionarykenny@gmail.com 二 零 一 五 年 十 二 月 家 書 親 愛 的 主 內 弟 兄 姐 妹 和 朋 友, 分 享 家 事 自 從 兒 子 於 七 月 出 生 後, 我 們 的 生 活 簡 直 是 翻 了 個 天, 每 天 忙 著 餵 奶 換 尿 布, 哄 睡 覺, 但 相 信 這 也 是 每

More information

The Government of The Hong Kong Special Administrative Region Procedures for Importation and Registration of Motor Vehicles & Motor Cycles

The Government of The Hong Kong Special Administrative Region Procedures for Importation and Registration of Motor Vehicles & Motor Cycles The Government of The Hong Kong Special Administrative Region Procedures for Importation and Registration of Motor Vehicles & Motor Cycles (Last revised on August 2014) The information below explains the

More information

促 進 市 場 競 爭 加 強 保 障 消 費 者

促 進 市 場 競 爭 加 強 保 障 消 費 者 通 訊 事 務 管 理 局 辦 公 室 2013 14 年 營 運 基 金 報 告 書 5 Facilitating Market Competition and Strengthening Consumer Protection 處 理 和 調 查 有 關 具 誤 導 性 或 欺 騙 性 行 為 的 電 訊 服 務 投 訴 2012 年 商 品 說 明 ( 不 良 營 商 手 法 )( 修 訂 )

More information

亞 洲 地 區 積 極 搶 客 : 澳 門 日 本 韓 國 紛 紛 推 出 割 價 優 惠 及 免 稅 等 搶 客, 香 港 暫 未 有 相 關 措 施

亞 洲 地 區 積 極 搶 客 : 澳 門 日 本 韓 國 紛 紛 推 出 割 價 優 惠 及 免 稅 等 搶 客, 香 港 暫 未 有 相 關 措 施 331 期 參 考 答 案 時 事 一 1. 香 港 旅 遊 業 面 臨 的 挑 戰 如 : 亞 洲 地 區 積 極 搶 客 : 澳 門 日 本 韓 國 紛 紛 推 出 割 價 優 惠 及 免 稅 等 搶 客, 香 港 暫 未 有 相 關 措 施 各 行 各 業 調 整 失 色 : 香 港 的 零 售 氣 氛 轉 弱, 調 整 期 恐 剛 剛 開 始, 不 少 商 店 及 小 店 結 業 屢 見 不

More information

轎 車 機 場 接 送 及 往 返 澳 門 與 香 港 機 場 接 送 服 務 禮 遇 ( 推 廣 ) 之 條 款 及 細 則 :

轎 車 機 場 接 送 及 往 返 澳 門 與 香 港 機 場 接 送 服 務 禮 遇 ( 推 廣 ) 之 條 款 及 細 則 : 一 般 條 款 及 細 則 Terms & Conditions : 轎 車 機 場 接 送 及 往 返 澳 門 與 香 港 機 場 接 送 服 務 禮 遇 ( 推 廣 ) 之 條 款 及 細 則 : (I) 一 般 條 款 : 1. 轎 車 機 場 接 送 及 往 返 澳 門 與 香 港 機 場 接 送 服 務 禮 遇 推 廣 由 即 日 起 至 2016 年 12 月 31 日 止 ( 推 廣

More information

Bodhisattva Path is an inevitable way to

Bodhisattva Path is an inevitable way to 二 O O 九 年 在 家 菩 薩 戒 誌 Report on the Bodhisattva Precept Transmission in 2009 Compiled by Editorial Staff 在 通 往 佛 國 的 路 上 比 丘 尼 恒 雲 文 沙 彌 尼 近 經 英 譯 通 往 佛 國 的 路 上, 菩 薩 道 是 一 在 條 必 經 之 路 諸 多 眾 生 在 這 路 上 來

More information

weekly Our mission Our history Our footprint Our award-winning content 2015 Media Kit asian northwest

weekly Our mission Our history Our footprint Our award-winning content 2015 Media Kit asian northwest 2015 Media Kit asian Our mission Asian Pacific American communities have been called, The market of the 21st century. The Northwest Asian Weekly (NWAW) and our sister paper, The Seattle Chinese Post (SCP),

More information

Exploring the Relationship Between Critical Thinking and Active. Participation in Online Discussions and Essays

Exploring the Relationship Between Critical Thinking and Active. Participation in Online Discussions and Essays Exploring the Relationship Between Critical Thinking and Active Participation in Online Discussions and Essays Graham J. PASSMORE, Ellen Carter, & Tom O NEILL Lakehead University, Brock University, Brock

More information

Market Access To Taiwan. By Jane Peng TÜV Rheinland Taiwan Ltd.

Market Access To Taiwan. By Jane Peng TÜV Rheinland Taiwan Ltd. Market Access To Taiwan By Jane Peng TÜV Rheinland Taiwan Ltd. Content General Introduction Taiwan BSMI mark Products, Scope and approval scheme News Update TÜV Rheinland s One-Stop Services Contact info.

More information

How To Be The Legend In Hong Kong

How To Be The Legend In Hong Kong 5th 與 東 亞 運 共 創 傳 奇 一 刻 Hong Kong shows East Asia it can Be the Legend History was made from 5 to 13 December 2009 when Hong Kong successfully hosted its first-ever international multi-sports event, the

More information

1.d 是 故 此 氣 也, 不 可 止 以 力, 而 可 安 以 德. 1 民 : should be read as 此 here. 2 乎 : is an exclamation, like an ah! 3 淖 : should be 綽 chùo, meaning spacious.

1.d 是 故 此 氣 也, 不 可 止 以 力, 而 可 安 以 德. 1 民 : should be read as 此 here. 2 乎 : is an exclamation, like an ah! 3 淖 : should be 綽 chùo, meaning spacious. 管 子 : 內 業 (Warring States, 475-220 BCE) Guanzi, Inner Training/Cultivation 1.a 凡 物 之 精, 此 則 為 生,, 下 生 五 穀, 上 為 列 星 In all cases, the essence of things This is what brings them to life. Below, it makes

More information

Wi-Fi SD. Sky Share S10 User Manual

Wi-Fi SD. Sky Share S10 User Manual Wi-Fi SD Sky Share S10 User Manual Table of Contents 1. Introduction... 3 2. Spec and System Requirements... 4 3. Start Sky Share S10... 5 4. iphone App... 7 5. ipad App... 13 6. Android App... 15 7. Web

More information

A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves

A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves PRC Labor and Employment Law Newsflash February 2016 A Brief Study on Cancellation of Late-Marriage and Late-Childbirth Leaves On 27 th December 2015 an amendment to the PRC Population and Family Planning

More information

Microsoft Big Data 解決方案與案例分享

Microsoft Big Data 解決方案與案例分享 DBI 312 Microsoft Big Data 解決方案與案例分享 Rich Ho Technical Architect 微軟技術中心 Agenda What is Big Data? Microsoft Big Data Strategy Key Benefits of Microsoft Big Data Demo Case Study What is Big Data? The world

More information

(Chapter 218) APPLICATION FOR A LICENCE BY A BODY CORPORATE

(Chapter 218) APPLICATION FOR A LICENCE BY A BODY CORPORATE Monday Form Collection Time 8:45 a.m. 12:30 p.m. FORM 3 TRAVEL AGENTS ORDINANCE to Friday 2:00 p.m. 5:00 p.m. (Chapter 218) APPLICATION FOR A LICENCE BY A BODY CORPORATE [reg. 9(1)(b).] Application is

More information

Data Structures Chapter 3 Stacks and Queues

Data Structures Chapter 3 Stacks and Queues Data Structures Chapter 3 Stacks and Queues Instructor: Ching Chi Lin 林 清 池 助 理 教 授 chingchi.lin@gmail.com Department of Computer Science and Engineering National Taiwan Ocean University Outline Stacks

More information

广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲

广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲 广 东 培 正 学 院 2016 年 本 科 插 班 生 专 业 课 考 试 大 纲 基 础 英 语 课 程 考 试 大 纲 Ⅰ. 考 试 性 质 普 通 高 等 学 校 本 科 插 班 生 招 生 考 试 是 由 专 科 毕 业 生 参 加 的 选 拔 性 考 试 高 等 学 校 根 据 考 生 的 成 绩, 按 已 确 定 的 招 生 计 划, 德 智 体 全 面 衡 量, 择 优 录 取 该

More information

Data Structures Chapter 4 Linked Lists

Data Structures Chapter 4 Linked Lists Data Structures Chapter 4 Linked Lists Instructor: Ching Chi Lin 林 清 池 助 理 教 授 chingchi.lin@gmail.com Department of Computer Science and Engineering National Taiwan Ocean University Outline Singly Linked

More information

ZACHYS tel +852.2530.1971 / +1.914.448.3026 fax +852.3014.3838 / +1.914.313.2350 auction@zachys.com zachys.com

ZACHYS tel +852.2530.1971 / +1.914.448.3026 fax +852.3014.3838 / +1.914.313.2350 auction@zachys.com zachys.com Karuizawa 1984 - #7802 into neck, distilled by Karuizawa Distillery on 29th November 1984 and bottled on 13th October 2014, aged 29 years, Spanish oak Olorosso sherry cask, sherry butt, cask #7802, bottle

More information

Training Course of Design Compiler

Training Course of Design Compiler Training Course of Design Compiler T. W. Tseng, ARES Lab 2008 Summer Training Course of Design Compiler REF: CIC Training Manual Logic Synthesis with Design Compiler, July, 2006 TSMC 0.18um Process 1.8-Volt

More information

ANSYS HFSS 3D Layout 侯 明 刚

ANSYS HFSS 3D Layout 侯 明 刚 ANSYS HFSS 3D Layout 侯 明 刚 Introducing the New Layout Flow with HFSS Two Design Interfaces Mechanical CAD (MCAD) Both Require Electrical the Accuracy Layout (ECAD) of 3D and HFSS HFSS: 3D Parametric Design

More information

Multilingual Version. English 中 文. Français 日 本 語. Deutsch. Italiano

Multilingual Version. English 中 文. Français 日 本 語. Deutsch. Italiano Multilingual Version English 中 文 Français 日 本 語 Deutsch Italiano AVN801 / 701 NETWORK CAMERA SERIES OPERATION GUIDE Please read instructions thoroughly before operation and retain it for future reference.

More information

國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文

國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文 國 立 中 山 大 學 企 業 管 理 學 系 碩 士 論 文 Department of Business Management National Sun Yat-sen University Master Thesis 尋 求 網 路 廣 告 績 效 最 佳 化 機 制 Optimizing Performance of Internet Advertising Campaigns 研 究 生

More information

Procedures to file a request to the JPO for Patent Prosecution Highway Pilot Program between the JPO and the HPO

Procedures to file a request to the JPO for Patent Prosecution Highway Pilot Program between the JPO and the HPO Procedures to file a request to the JPO for Patent Prosecution Highway Pilot Program between the JPO and the HPO 1. to the JPO When an applicant files a request for an accelerated examination under the

More information

Customers' Trust and Purchase Intention towards. Taobao's Alipay (China online marketplace)

Customers' Trust and Purchase Intention towards. Taobao's Alipay (China online marketplace) Customers' Trust and Purchase Intention towards Taobao's Alipay (China online marketplace) By Wong Tak Yan, Isabella 08032084 & Yeung Yuen Ha, Rabeea 08037728 An Honours Degree Project Submitted to the

More information

Quality of. Leadership. Quality Students of Faculty. Infrastructure

Quality of. Leadership. Quality Students of Faculty. Infrastructure 217 218 Quality of Quality of Leadership Quality of Quality of Quality Students of Faculty Quality of Infrastructure 219 220 Quantitative Factor Quantitative Analysis Meta Synthesis Informal Interviews

More information

Validity and reliability of the Chinese version of the Insulin Treatment Appraisal Scale among primary care patients in Hong Kong

Validity and reliability of the Chinese version of the Insulin Treatment Appraisal Scale among primary care patients in Hong Kong Original Article Validity and reliability of the Chinese version of the Insulin Treatment Appraisal Scale among primary care patients in Hong Kong KP Lee * This article was published on 3 Jun 2016 at www.hkmj.org.

More information

10th PRO Awards Grace a Plaque of Recognition for Excellence

10th PRO Awards Grace a Plaque of Recognition for Excellence 今日靈惠 GraceToday The Official Publication of Grace Christian College Grace Village, Quezon City, Philippines Vol. XX Issue I November 2011 10th PRO Awards Grace a Plaque of Recognition for Excellence GCC

More information

EA-N66. 3-in-1 Dual-Band Wireless-N900 Gigabit Access Point / Wi-Fi Bridge / Range Extender. Step-by-Step Setup Manual

EA-N66. 3-in-1 Dual-Band Wireless-N900 Gigabit Access Point / Wi-Fi Bridge / Range Extender. Step-by-Step Setup Manual EA-N66 3-in-1 Dual-Band Wireless-N900 Gigabit Access Point / Wi-Fi Bridge / Range Extender Step-by-Step Setup Manual E7648 First Edition August 2012 Copyright 2012 ASUSTeK Computer Inc. All Rights Reserved.

More information

HiTi user manual. HiTi Digital, Inc. www.hiti.com

HiTi user manual. HiTi Digital, Inc. www.hiti.com HiTi user manual HiTi Digital, Inc. www.hiti.com English CONTENTS PREFACE Announcements Chapter 1. Getting ready 1.1 Checking box contents 1.2 Appearance of the printer and key functions 1.3 Installation

More information

新 媒 體 傳 播 策 略 應 用 學 習 課 程 2015-2017

新 媒 體 傳 播 策 略 應 用 學 習 課 程 2015-2017 新 媒 體 傳 播 策 略 應 用 學 習 課 程 2015-2017 1 新 媒 體 傳 播 策 略 本 課 程 旨 在 讓 學 生 掌 握 新 媒 體 傳 播 策 略 的 知 識, 如 互 動 媒 體 社 交 媒 體 視 頻 剪 輯 和 移 動 應 用 程 式 透 過 設 計 規 劃 和 執 行 過 程 中, 學 生 學 習 使 用 精 練 信 息 來 溝 通 課 程 內 容 亦 函 蓋 整 合

More information

REGULATIONS FOR THE DEGREE OF BACHELOR OF ARTS IN ARCHITECTURAL STUDIES (BA[ArchStud])

REGULATIONS FOR THE DEGREE OF BACHELOR OF ARTS IN ARCHITECTURAL STUDIES (BA[ArchStud]) REGULATIONS FOR THE DEGREE OF BACHELOR OF ARTS IN ARCHITECTURAL STUDIES (BA[ArchStud]) These regulations and syllabuses apply to students admitted in the 2011-12 academic year and thereafter. (See also

More information

代 號 (//) ISIN Code Price Price CHIPOW CHINA POWER INTL DEVELOP 4.5 5/9/2017 HK0000198041 100.55 100.80 3.72 3.38 Electric Moderate CHELCP CN ELECTRONI

代 號 (//) ISIN Code Price Price CHIPOW CHINA POWER INTL DEVELOP 4.5 5/9/2017 HK0000198041 100.55 100.80 3.72 3.38 Electric Moderate CHELCP CN ELECTRONI 中 銀 國 際 提 供 一 系 列 由 不 同 國 家 政 府 機 構 金 融 機 構 或 大 型 企 業 發 行 的 債 券, 涵 蓋 不 同 年 期 息 率 及 結 算 貨 幣 供 客 戶 選 擇 以 下 債 券 報 價 僅 提 供 基 本 的 市 場 參 考 價 格 作 參 考 用 途 如 欲 查 詢 最 新 市 場 價 格 或 索 取 更 多 有 關 債 券 的 資 料, 請 聯 絡 您 的

More information

Stress, Rhythm, Tone And Intonation. Ching Kang Liu National Taipei University ckliu@mail.ntpu.edu.tw http://web.ntpu.edu.

Stress, Rhythm, Tone And Intonation. Ching Kang Liu National Taipei University ckliu@mail.ntpu.edu.tw http://web.ntpu.edu. Stress, Rhythm, Tone And Intonation Ching Kang Liu National Taipei University ckliu@mail.ntpu.edu.tw http://web.ntpu.edu.tw/~ckliu/ 1 Overview Rhythm & intonation 1. Rhythm (suprasegmental stress patterns)

More information

中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入

中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入 中 国 石 化 上 海 石 油 化 工 研 究 院 欢 迎 国 内 外 高 层 次 人 才 加 入 创 建 世 界 一 流 研 究 院 是 中 国 石 油 化 工 股 份 有 限 公 司 上 海 石 油 化 工 研 究 院 ( 以 下 简 称 上 海 院 ) 的 远 景 目 标, 满 足 国 家 石 油 石 化 发 展 需 求, 为 石 油 石 化 提 供 技 术 支 撑 将 是 上 海 院 的 使

More information

痴 呆 症. Dementia 如 何 照 顧 患 有 痴 呆 症 的 家 人. How To Care For A Family Member With Dementia

痴 呆 症. Dementia 如 何 照 顧 患 有 痴 呆 症 的 家 人. How To Care For A Family Member With Dementia 痴 呆 症 如 何 照 顧 患 有 痴 呆 症 的 家 人 Dementia How To Care For A Family Member With Dementia How To Care For A Family Member With Dementia Caring for a family member with dementia can be a challenge. Dementia

More information

4.8 Thedo while Repetition Statement Thedo while repetition statement

4.8 Thedo while Repetition Statement Thedo while repetition statement 1 4.8 hedo while Repetition Statement hedo while repetition statement Similar to thewhile structure Condition for repetition tested after the body of the loop is performed All actions are performed at

More information

Application Guidelines for International Graduate Programs in Engineering

Application Guidelines for International Graduate Programs in Engineering Global 30: Future Global Leadership (FGL) 2016 Academic Year (April 2016 Enrollment) Application Guidelines for International Graduate Programs in Engineering International Mechanical and Aerospace Engineering

More information

ENERGY SERVICE -> GREEN ECONOMICS

ENERGY SERVICE -> GREEN ECONOMICS ENERGY SERVICE -> GREEN ECONOMICS Speaker: Veronica LIN 林美真 Member of ESCO Association Board 中華民國能源技術服務商業同業公會 理事 GM of Ecolife Taiwan Co., Ltd 綠生活健康節能有限公司 總經理 夏季節電對策的基本想法 Summer time electricity saving

More information

INFORMATION NOTE. Causes of Poverty in Hong Kong: A Literature Review

INFORMATION NOTE. Causes of Poverty in Hong Kong: A Literature Review INFORMATION NOTE Causes of Poverty in Hong Kong: A Literature Review 1. Overview 1.1 This information note summarizes academic discussions on the factors that lead to the present problem of poverty in

More information

MARGINAL COST OF INDUSTRIAL PRODUCTION

MARGINAL COST OF INDUSTRIAL PRODUCTION 2011 POLISH JOURNAL OF MANAGEMENT STUDIES MARGINAL COST OF INDUSTRIAL PRODUCTION Abstract: One of the important issues of production management is the most efficient possible use of the production capacity

More information

电 信 与 互 联 网 法 律 热 点 问 题

电 信 与 互 联 网 法 律 热 点 问 题 2014 年 5 月 26 日 2014 年 8 月 14 日 电 信 与 互 联 网 法 律 热 点 问 题 即 时 通 信 工 具 公 众 信 息 服 务 发 展 管 理 暂 行 规 定 简 评 2014 年 8 月 7 日, 国 家 互 联 网 信 息 办 公 室 发 布 了 即 时 通 信 工 具 公 众 信 息 服 务 发 展 管 理 暂 行 规 定 ( 以 下 简 称 暂 行 规 定 ),

More information

Lot 439. Lot 440. Lots

Lot 439. Lot 440. Lots Lot 439 Lot 440 Macallan Fine and Rare Private Eye Single Malt 1961 bottom neck, Single Highland Malt Whisky, 35th anniversary of Private Eye, Cask #1580, bonded in 1961, 700ml, 40% alcohol, bottle #4972

More information

Grant Request Form. Request Form. (For continued projects)

Grant Request Form. Request Form. (For continued projects) Grant Request Form Request Form (For continued projects) NOTE: Check list of the needed documents Following documents should be prepared in Japanese. Continuing grant projects from FY2015(or FY2014) don

More information

Bird still caged? China s courts under reform. Workshop, June 3-4, 2016, Vienna, Austria. (University of Vienna, Department of East Asian Studies)

Bird still caged? China s courts under reform. Workshop, June 3-4, 2016, Vienna, Austria. (University of Vienna, Department of East Asian Studies) Bird still caged? China s courts under reform Workshop, June 3-4, 2016, Vienna, Austria (University of Vienna, Department of East Asian Studies) At this workshop, expert participants will exchange information

More information

JAPAN PATENT OFFICE AS DESIGNATED (OR ELECTED) OFFICE CONTENTS

JAPAN PATENT OFFICE AS DESIGNATED (OR ELECTED) OFFICE CONTENTS Page 1 JP JAPAN PATENT OFFICE AS DESIGNATED (OR ELECTED) OFFICE CONTENTS THE ENTRY INTO THE NATIONAL PHASE SUMMARY THE PROCEDURE IN THE NATIONAL PHASE ANNEXES Fees... Annex JP.I Form No. 53: Transmittal

More information

D R 數 據 研 究. merely mimicking the sciences use of (big) data, the arts and humanities must explore what kind. asdf

D R 數 據 研 究. merely mimicking the sciences use of (big) data, the arts and humanities must explore what kind. asdf D R at ES a e fi a r e c d h Browse this way Table of Contents DATAFYING THE GAZE, OR THE BUBBLE GLAZ 三 03 Emails from an American Psycho 三 03 CAPTURE ALL YOUR THOUGHTS 十 二 12 Interface Industry - Cultural

More information

JPShiKen.COM 全 日 本 最 新 の IT 認 定 試 験 問 題 集

JPShiKen.COM 全 日 本 最 新 の IT 認 定 試 験 問 題 集 JPShiKen.COM 全 日 本 最 新 の IT 認 定 試 験 問 題 集 最 新 の IT 認 定 試 験 資 料 のプロバイダ 参 考 書 評 判 研 究 更 新 試 験 高 品 質 学 習 質 問 と 回 答 番 号 教 科 書 難 易 度 体 験 講 座 初 心 者 種 類 教 本 ふりーく 方 法 割 引 復 習 日 記 合 格 点 学 校 教 材 ス クール 認 定 書 籍 攻

More information

Spectrum: Studies in Language, Literature, Translation, and Interpretation, Vol. 2, 85-92

Spectrum: Studies in Language, Literature, Translation, and Interpretation, Vol. 2, 85-92 Spectrum: Studies in Language, Literature, Translation, and Interpretation, Vol. 2, 85-92 Tales of Good-hearted Women: A Comparison of Gustave Flaubert's Un Coeur simple and Gertrude Stein's The Good Anna

More information

Graduate School of Engineering. Master s Program, 2016 (October entrance)

Graduate School of Engineering. Master s Program, 2016 (October entrance) Application Procedure for Foreign Student Admission to Graduate School of Engineering Master s Program, 2016 (October entrance) Tottori University 4-101 Koyama-Minami, Tottori, 680-8552 Japan Phone: +81-857-31-6761

More information

E-BUSINESS STRATEGY IN SUPPLY CHAIN COLLABORATION: AN EMPIRICAL STUDY OF B2B E-COMMERCE PROJECT IN TAIWAN

E-BUSINESS STRATEGY IN SUPPLY CHAIN COLLABORATION: AN EMPIRICAL STUDY OF B2B E-COMMERCE PROJECT IN TAIWAN International Journal of Electronic Business Management, Vol. 10, No. 2, pp. 101-112 (2012) 101 E-BUSINESS STRATEGY IN SUPPLY CHAIN COLLABORATION: AN EMPIRICAL STUDY OF B2B E-COMMERCE PROJECT IN TAIWAN

More information

RT-AC68R. Quick Start Guide. Wireless-AC1900 Dual Band Gigabit Router. NOTE: For more details, refer to the user manual included in the support

RT-AC68R. Quick Start Guide. Wireless-AC1900 Dual Band Gigabit Router. NOTE: For more details, refer to the user manual included in the support RT-AC68R Wireless-AC1900 Dual Band Gigabit Router 8R Quick Start Guide NOTE: For more details, refer to the user manual included in the support CD. E8616 / First Edition / August 2013 E8616_RT-AC68R_QSG_new.indd

More information

Multilingual Version. English. 中 文 Français 日 本 語. Deutsch. Español. Italiano

Multilingual Version. English. 中 文 Français 日 本 語. Deutsch. Español. Italiano Multilingual Version English 中 文 Français 日 本 語 Deutsch Español Italiano AVN801 / 701 NETWORK CAMERA SERIES OPERATION GUIDE Please read instructions thoroughly before operation and retain it for future

More information

Should lidocaine spray be used to ease nasogastric tube insertion? A double-blind, randomised controlled trial

Should lidocaine spray be used to ease nasogastric tube insertion? A double-blind, randomised controlled trial O R I G I N A L A R T I C L E Should lidocaine spray be used to ease nasogastric tube insertion? A double-blind, randomised controlled trial CP Chan FL Lau 陳 志 鵬 劉 飛 龍 Objective To investigate the efficacy

More information

Romeo and Juliet 罗 密 欧 与 茱 丽 叶

Romeo and Juliet 罗 密 欧 与 茱 丽 叶 Romeo and Juliet 1 A Famous Love Story 驰 名 的 爱 情 故 事 Romeo and Juliet 罗 密 欧 与 茱 丽 叶 Although he died almost 400 years ago, William Shakespeare is still one of the most popular English writers in the world.

More information

Course Material English in 30 Seconds (Nan un-do)

Course Material English in 30 Seconds (Nan un-do) English 4A Spring 2011 Sumiyo Nishiguchi Syllabus Course Title English 4A Instructor Sumiyo Nishiguchi Class Location B101 Time Monday 1pm-2:30pm Email nishiguchi@rs.tus.ac.jp Course Website https://letus.ed.tus.ac.jp/course/

More information

Meaning, function, and grammaticalization: The case of zaishuo. 李 懿 倫 Vincent Yi-lun Li 國 立 台 灣 師 範 大 學 英 語 研 究 所 語 言 組 ( 碩 士 班 )

Meaning, function, and grammaticalization: The case of zaishuo. 李 懿 倫 Vincent Yi-lun Li 國 立 台 灣 師 範 大 學 英 語 研 究 所 語 言 組 ( 碩 士 班 ) Meaning, function, and grammaticalization: The case of zaishuo 李 懿 倫 Vincent Yi-lun Li 國 立 台 灣 師 範 大 學 英 語 研 究 所 語 言 組 ( 碩 士 班 ) Abstract This paper proposes that Mandarin Chinese zaishuo( 再 說 ) can be

More information

美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书

美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书 美 国 律 师 协 会 知 识 产 权 法 部 和 国 际 法 律 部 关 于 中 华 人 民 共 和 国 专 利 法 修 改 草 案 ( 征 求 意 见 稿 ) 的 联 合 意 见 书 2012 年 9 月 7 日 本 文 所 述 意 见 仅 代 表 美 国 律 师 协 会 (ABA) 知 识 产 权 法 部 和 国 际 法 律 部 的 意 见 文 中 的 评 论 内 容 未 经 美 国 律 师

More information

Synergy yet to be Seen, Maintain Accumulate

Synergy yet to be Seen, Maintain Accumulate : CRRC Corporation (1766 HK) Gary Wong 黄 家 玮 公 司 报 告 : 中 国 中 车 (1766 HK) +852 259 2616 gary.wong@gtjas.com.hk Synergy yet to be Seen, Maintain Accumulate 协 同 效 应 有 待 观 察, 维 持 收 集 GTJA Research 国 泰 君 安

More information

ifuzhen.com, ifortzone.com a product of Edgework Ventures Financial Management Software & Financial Wiki

ifuzhen.com, ifortzone.com a product of Edgework Ventures Financial Management Software & Financial Wiki ifuzhen.com, ifortzone.com a product of Edgework Ventures Edgework Ventures Limited Flat L 19th Floor, Goldfield Building 144-150 Tai Lin Pai Road Kwai Chung, Hong Kong Edgework Technology, Shanghai 中国

More information

Master Program in Project Management Yunnan University of Finance & Economics, 2016

Master Program in Project Management Yunnan University of Finance & Economics, 2016 Master Program in Project Management Yunnan University of Finance & Economics, 2016 Part I About the Program Program Objectives Guided by Chinese government s development strategy One Belt, One Road and

More information

TS-3GA-32.341(Rel10)v10.0.0 Telecommunication management; File Transfer (FT) Integration Reference Point (IRP); Requirements

TS-3GA-32.341(Rel10)v10.0.0 Telecommunication management; File Transfer (FT) Integration Reference Point (IRP); Requirements TS-3GA-32.341(Rel10)v10.0.0 Telecommunication management; File Transfer (FT) Integration Reference Point (IRP); Requirements 2011 年 6 月 22 日 制 定 一 般 社 団 法 人 情 報 通 信 技 術 委 員 会 THE TELECOMMUNICATION TECHNOLOGY

More information

DELTA DUCT SYSTEMS LTD 佳 得 風 管 系 統 有 限 公 司,

DELTA DUCT SYSTEMS LTD 佳 得 風 管 系 統 有 限 公 司, DELTA DUCT SYSTEMS LTD 佳 得 風 管 系 統 有 限 公 司 佳 得 風 管 系 統 有 限 公 司, 累 積 多 年 經 驗, 生 產 並 銷 售 多 款 冷 氣 和 通 風 系 統 的 優 質 產 品 去 年 更 推 出 品 質 優 良 的 不 鏽 鋼 電 熱 管, DDS 不 鏽 鋼 電 熱 管 除 了 生 產 過 程 嚴 謹, 更 符 合 了 CE 的 驗 證 要 求,

More information

2013 年 6 月 英 语 六 级 真 题 及 答 案 ( 文 都 版 )

2013 年 6 月 英 语 六 级 真 题 及 答 案 ( 文 都 版 ) 真 题 园 ----http://www.zhentiyuan.com 2013 年 6 月 英 语 六 级 真 题 及 答 案 ( 文 都 版 ) 注 : 快 速 阅 读 暂 缺, 作 文 范 文 供 参 考 Part I Writing 2013 年 6 月 六 级 作 文 范 文 一 It is not exaggerating to say that habits determine how

More information

105 年 專 門 職 業 及 技 術 人 員 普 通 考 試 導 遊 人 員 領 隊 人 員 考 試 試 題

105 年 專 門 職 業 及 技 術 人 員 普 通 考 試 導 遊 人 員 領 隊 人 員 考 試 試 題 105 年 專 門 職 業 及 技 術 人 員 普 通 考 試 導 遊 人 員 領 隊 人 員 考 試 試 題 等 別 : 普 通 考 試 類 科 : 外 語 領 隊 人 員 ( 英 語 ) 科 目 : 外 國 語 ( 英 語 ) 考 試 時 間 : 1 小 時 20 分 座 號 : 代 號 :4601 頁 次 :8-1 注 意 : 本 試 題 為 單 一 選 擇 題, 請 選 出 一 個 正 確

More information

An Improved Method for the Binarization in Structured Light 3D Scanning Systems

An Improved Method for the Binarization in Structured Light 3D Scanning Systems An Improved Method for the Binarization in Structured Liht 3D Scannin Systems An Improved Method for the Binarization in Structured Liht 3D Scannin Systems Chih-Hun Huan 1 1 Department of Information Manaement

More information

Slow Earnings Growth but Attractive Discount to A-Shares

Slow Earnings Growth but Attractive Discount to A-Shares : Tsingtao Brewery (00168 HK) Sunny Kwok 郭 日 升 公 司 报 告 : 青 岛 啤 酒 (00168 HK) +852 2509 2642 sunny.kwok@gtjas.com.hk Slow Earnings Growth but Attractive Discount to A-Shares 盈 利 低 速 增 长 但 与 A 股 折 让 较 大 GTJA

More information

~1: 15 /;' J~~~~c...:;.--:.. I. ~ffi ~I J) ':~

~1: 15 /;' J~~~~c...:;.--:.. I. ~ffi ~I J) ':~ ~1: 15 /;' J~~~~c...:;.--:.. I ~ffi ~I J) ':~ _ Making CET Writing Sub-test Communicative A Thesis Presented to The College ofenglish Language and Literature Shanghai International Studies University In

More information

Chinese (Mandarin): World Language

Chinese (Mandarin): World Language The Praxis Study Companion Chinese (Mandarin): World Language 5665 www.ets.org/praxis Welcome to the Praxis Study Companion Welcome to the Praxis Study Companion Prepare to Show What You Know You have

More information

英 語 上 級 者 への 道 ~Listen and Speak 第 4 回 ヨーロッパからの 新 しい 考 え. Script

英 語 上 級 者 への 道 ~Listen and Speak 第 4 回 ヨーロッパからの 新 しい 考 え. Script 英 語 上 級 者 への 道 ~Listen and Speak 第 4 回 ヨーロッパからの 新 しい 考 え Script Dialogue for Introduction E: Hello, listeners. Another New Year is upon us! As always, people around the world are hopeful for positive change.

More information

Hands on exercise Hazard Map and its application

Hands on exercise Hazard Map and its application 2012 International Training Workshop for Natural Hazard Reduction May 14 18,2012 Hands on exercise Hazard Map and its application (I) Google Earth Basics by Chy Chang Chang (II) Potential Map by Tingyeh

More information

Online Grading System User Guide. Content

Online Grading System User Guide. Content User Guide (Translation of " 成 績 WEB 入 力 システム 操 作 マニュアル") Content 0Login page...1 1 Login 1 2 Logout 2 1Password Initialization.3 2Menu.4 3Student Database...5 1 Student Search 5 2 Search Results 6 4Registered

More information

Terms and Conditions of Purchase- Bosch China [ 采 购 通 则 博 世 ( 中 国 )]

Terms and Conditions of Purchase- Bosch China [ 采 购 通 则 博 世 ( 中 国 )] 1. General 总 则 Our Terms and Conditions of Purchase shall apply exclusively; Business terms and conditions of the Supplier conflicting with or Supplier s deviating from our Terms and Conditions of Purchase

More information

A SERVER-LESS ARCHITECTURE FOR BUILDING SCALABLE, RELIABLE, AND COST-EFFECTIVE VIDEO-ON-DEMAND SYSTEMS

A SERVER-LESS ARCHITECTURE FOR BUILDING SCALABLE, RELIABLE, AND COST-EFFECTIVE VIDEO-ON-DEMAND SYSTEMS A SERVER-LESS ARCHITECTURE FOR BUILDING SCALABLE, RELIABLE, AND COST-EFFECTIVE VIDEO-ON-DEMAND SYSTEMS LEUNG WAI TAK A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of Master

More information

The Maryknoll Advantage With Pacific Aviation Museum Pearl Harbor

The Maryknoll Advantage With Pacific Aviation Museum Pearl Harbor S U M M E R C A M P 2 0 1 4 The Maryknoll Advantage With Pacific Aviation Museum Pearl Harbor Summer ESL/Pacific Aviation Museum at Maryknoll School, Hawaii July 12 July 31 Program will include: *16 hours

More information

世 界 のデブリ 関 連 規 制 の 動 向 Current situation of the international space debris mitigation standards

世 界 のデブリ 関 連 規 制 の 動 向 Current situation of the international space debris mitigation standards 第 6 回 スペースデブリワークショップ 講 演 資 料 集 93 B1 世 界 のデブリ 関 連 規 制 の 動 向 Current situation of the international space debris mitigation standards 加 藤 明 ( 宇 宙 航 空 研 究 開 発 機 構 ) Akira Kato (JAXA) スペースデブリの 発 生 の 防 止 と

More information

Effect of omega 3 and omega 6 fatty acid intakes from diet and supplements on plasma fatty acid levels in the first 3 years of life

Effect of omega 3 and omega 6 fatty acid intakes from diet and supplements on plasma fatty acid levels in the first 3 years of life 552 Asia Pac J Clin Nutr 2008;17 (4):552-557 Original Article Effect of omega 3 and omega 6 fatty acid intakes from diet and supplements on plasma fatty acid levels in the first 3 years of life Camilla

More information

Request for Taxpayer Identification Number and Certification 納 税 者 番 号 および 宣 誓 の 依 頼 書

Request for Taxpayer Identification Number and Certification 納 税 者 番 号 および 宣 誓 の 依 頼 書 Substitute Form 代 替 様 式 W-9 Print or type Request for Taxpayer Identification Number and Certification 納 税 者 番 号 および 宣 誓 の 依 頼 書 英 字 活 字 体 またはタイプでご 記 入 下 さい 1 Name (as shown on your income tax return),

More information

CAHPS for PQRS Survey Quality Assurance Guidelines Version 1 Technical Corrections and Clarifications Issued November 23, 2015

CAHPS for PQRS Survey Quality Assurance Guidelines Version 1 Technical Corrections and Clarifications Issued November 23, 2015 Subsequent to the production of the CAHPS for PQRS Survey (QAG V.1), it has been determined that there are specific survey material items that require content corrections. These items are identified below.

More information

2.4GHZ RADIO CONTROL SYSTEM INSTRUCTION MANUAL

2.4GHZ RADIO CONTROL SYSTEM INSTRUCTION MANUAL TM TTX300 2.4GHZ RADIO CONTROL SYSTEM INSTRUCTION MANUAL Thank you for making the Tactic TTX300 2.4GHz SLT system your choice for radio control! This system uses modern 2.4GHz Spread Spectrum technology

More information