ATI 215PADAKA12FG Graphics Processor extracted from ATI Radeon X1950 Pro Graphics Card

Size: px
Start display at page:

Download "ATI 215PADAKA12FG Graphics Processor extracted from ATI Radeon X1950 Pro Graphics Card"

Transcription

1 ATI 215PADAKA12FG Graphics Processor extracted from ATI Radeon X195 Pro Graphics Card Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales at Chipworks Richmond Road, Suite 5, Ottawa, ON K2H 5B7, Canada Tel: Fax:

2 Structural Analysis Table of Contents 1 Overview 1.1 List of Figures 1.2 List of Tables 1.3 Company Profile 1.4 Introduction 1.5 Device Summary 2 Device Overview 2.1 Package and Die Overview 2.2 Die Features 2.3 Selected Package Analysis 3 Process 3.1 General Structure 3.2 Passivation and Dielectrics 3.3 Metallization 3.4 Vias and Contacts 3.5 Logic and I/O Transistors, and STI 3.6 Isolation 3.7 Wells 4 SRAM Cell Analysis 4.1 SRAM Cell Overview and Schematics 4.2 8T SRAM Plan View Analysis 4.3 8T SRAM Cross-Sectional Analyses (Parallel to Bitlines) 4.4 6T SRAM Plan View Analysis 4.5 6T SRAM Cross Sectional Analyses 5 Materials Analysis 5.1 Materials Analysis Overview 5.2 SEM-EDS Analysis 5.3 TEM-EDS Analysis of the Dielectrics 5.4 TEM-EDS Analysis of the Metals 5.5 TEM-EDS Analysis of Transistors

3 Structural Analysis 6 Critical Dimensions 6.1 Package and Die 6.2 Dielectrics, Metals and Vias 6.3 Logic Transistors, Polysilicon, and STI 6.4 SRAM 7 Statement of Measurement Uncertainty and Scope Variation 8 References Report Evaluation

4 Overview Overview 1.1 List of Figures 2 Device Overview Package Top Package Bottom Package X-Ray Die Photograph Die Markings Die Photograph Backside Polysilicon Die Corner A Die Corner B Die Corner C Die Corner D Hexagonal Pad Arrangement Square Pad Arrangement Pad Under Bump Metallization Standard Logic Layout at Polysilicon Standard Logic Cell at Polysilicon Dummy Gates in Logic Layout at Polysilicon Gate Array at Polysilicon Dummy Polysilicon Array Separating Circuit Blocks Silicon Die and Fiberglass Substrate Solder Bump Overview Solder Bump/Die Interface Solder Bump/Package Land Interface Package Ball 3 Process General Die Structure Die Edge Die Seal Passivation FESEM ILD 7 FESEM ILD 7 TEM ILD 6 TEM ILD 5 TEM ILD 4 TEM ILD 3 TEM ILD 2 TEM ILD 1 TEM PMD FESEM PMD TEM STI Under Polysilicon and Gates FESEM

5 Overview Minimum Pitch Metal Metal 7 to Metal 1 and ILD Stack Minimum Pitch Metal 7 FESEM Metal 7 TEM Minimum Pitch Metal 6 FESEM Metal 6 TEM Metal 6 Liner TEM Minimum Pitch Metal 5 FESEM Metal 5 TEM Minimum Pitch Metal Metal 4 TEM Minimum Pitch Metal Metal 3 TEM Minimum Pitch Metal Metal 2 TEM Minimum Pitch Metal 1 FESEM Metal 1 TEM Minimum Pitch Via Minimum Pitch Via 6 and Minimum Pitch Via 4 and 3 FESEM Via 4 TEM Via 3 TEM Minimum Pitch Via 2 and Via 1 TEM Contact to Poly TEM Contact to S/D TEM Contact to S/D, Top TEM Contact to S/D, Bottom TEM Contacts to Polysilicon TEM Butted Contact in 6T SRAM General View of Logic MOS Transistors and Minimum Width STI FESEM Logic Transistor Gate (Length) TEM T SRAM NMOS Transistor Gate (Length) TEM T SRAM PMOS Transistor Gate (Length) TEM Transistor Gate (Width) TEM Logic Transistor Gate Dielectric NMOS Gates at Silicon Delineation PMOS Gates at Silicon Delineation I/O Transistor Gate TEM I/O Transistor Gate Dielectric TEM Minimum Width STI Logic Area P-Well and N-Well SCM Logic Area P-Well Profile SIMS Logic Area N-Well Profile SIMS SRAM Area P-Well Profile SIMS SRAM Area N-Well Profile SIMS

6 Overview SRAM Cell Analysis Dual Port 8T SRAM Cell Schematic T SRAM Cell Schematic T SRAM at Metal T SRAM at Metal T SRAM at Metal T SRAM at Polysilicon T SRAM at Silicon NMOS Pull Down Transistors TEM NMOS Access Transistors TEM PMOS Pull Up Transistors TEM T SRAM at Polysilicon T SRAM at Silicon T SRAM at Metal T SRAM at Metal T SRAM at Metal NMOS Pull Down and Access Transistors FESEM PMOS Pull Up Transistors FESEM 5 Materials Analysis SEM-EDS Spectrum of UBM SEM-EDS Spectrum of UBM SEM-EDS Spectrum of UBM SEM-EDS Analysis of Solder Bump SEM-EDS Analysis of Package Land Plating (Top) SEM-EDS Analysis of Package Land TEM-EDS Spectrum of Passivation 1, 3, and TEM-EDS Spectrum of Passivation 2 and TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD 7-2 and ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of ILD TEM-EDS Spectrum of PMD 2 and PMD TEM-EDS Spectrum of PMD TEM-EDS Spectrum of PMD TEM-EDS Spectrum of STI TEM-EDS Spectrum of Metal 1 Liner TEM-EDS Spectrum of Gate Silicide TEM-EDS Spectrum of MOS S/D Silicide

7 Overview List of Tables 1 Overview Device Identification PADAKA12FG Device Summary PATAKA12FG Process Summary 2 Device Overview Package and Die Critical Dimensions 3 Process Measured Dielectric Thicknesses Measured Metal Line Thicknesses Minimum Observed Metal Line Horizontal Dimensions Minimum Observed Via and Contact Pitch Transistor Horizontal Dimensions Transistor Vertical Dimensions 4 SRAM Cell Analysis T SRAM Cell and Transistor Dimensions T SRAM Cell and Transistor Dimensions 6 Critical Dimensions Package and Die Critical Dimensions Measured Dielectric Thicknesses Measured Metal Line Thicknesses Minimum Observed Metal Line Horizontal Dimensions Minimum Observed Via and Contact Pitch Transistor Horizontal Dimensions Transistor Vertical Dimensions T SRAM Cell and Transistor Dimensions T SRAM Cell and Transistor Dimensions

8 About Chipworks Chipworks is the recognized leader in reverse engineering and patent infringement analysis of semiconductors and electronic systems. The company s ability to analyze the circuitry and physical composition of these systems makes them a key partner in the success of the world s largest semiconductor and microelectronics companies. Intellectual property groups and their legal counsel trust Chipworks for success in patent licensing and litigation earning hundreds of millions of dollars in patent licenses, and saving as much in royalty payments. Research & Development and Product Management rely on Chipworks for success in new product design and launch, saving hundreds of millions of dollars in design, and earning even more through superior product design and faster launches. Contact Chipworks To find out more information on this report, or any other reports in our library, please contact Chipworks at: Chipworks 3685 Richmond Rd. Suite 5 Ottawa, Ontario K2H 5B7 Canada T: F: Web site: info@chipworks.com Please send any feedback to feedback@chipworks.com

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Intel Q3GM ES 32 nm CPU (from Core i5 660) Intel Q3GM ES Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor and electronics technology, please call

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis

Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis March 17, 2006 Micron MT9D111 2 Megapixel CMOS Image Sensor Functional Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC

Qualcomm QCA6174 802.11ac Wi-Fi 2x2 MIMO Combo SoC Qualcomm QCA6174 Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Basic Functional Analysis 2 Some of the information in this report

More information

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor

Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor Apple/AuthenTec TMDR92 iphone 5s, 6, and 6 Plus Fingerprint Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613.829.0414 www.chipworks.com Some of the information in this report may

More information

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor

InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor InvenSense MPU-6515 6-Axis Accelerometer Gyroscope MEMS Motion Sensor 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Some of the information in this report

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks

Atmel. MXT224 Touch Screen Controller. Circuit Analysis of Charge Integrator, ADC, and I/O Blocks Atmel MXT224 Touch Screen Controller Circuit Analysis of Charge Integrator, ADC, and I/O Blocks For questions, comments, or more information about this report, or for any additional technical needs concerning

More information

NXP PN548 (65V10) Near Field Communication Module

NXP PN548 (65V10) Near Field Communication Module NXP PN548 (65V10) Module Basic Functional Analysis 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 chipworks.com Basic Functional Analysis 2 Some of the information in this

More information

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis September 22, 2004 AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis Table of Contents Introduction... Page 1 List of Figures... Page 2 Device Identification Major Microstructural Analysis

More information

Sample Project List. Software Reverse Engineering

Sample Project List. Software Reverse Engineering Sample Project List Software Reverse Engineering Automotive Computing Electronic power steering Embedded flash memory Inkjet printer software Laptop computers Laptop computers PC application software Software

More information

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card)

AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) AMD/ATI 215-0754009-00 RV840 Juniper GPU (from Radeon TM HD 5750 Graphics Card) Circuit Analysis of GDDR5 I/O Drivers, Receivers, DLL, and PLL Table of Contents 3685 Richmond Road, Suite 500, Ottawa, ON

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

The MOSFET Transistor

The MOSFET Transistor The MOSFET Transistor The basic active component on all silicon chips is the MOSFET Metal Oxide Semiconductor Field Effect Transistor Schematic symbol G Gate S Source D Drain The voltage on the gate controls

More information

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i

Layout and Cross-section of an inverter. Lecture 5. Layout Design. Electric Handles Objects. Layout & Fabrication. A V i Layout and Cross-section of an inverter Lecture 5 A Layout Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London V DD Q p A V i V o URL: www.ee.ic.ac.uk/pcheung/

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1 LECTURE 030 - DEEP SUBMICRON (DSM) CMOS TECHNOLOGY LECTURE ORGANIZATION Outline Characteristics of a deep submicron CMOS technology Typical deep submicron

More information

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power

DirectFET TM - A Proprietary New Source Mounted Power Package for Board Mounted Power TM - A Proprietary New Source Mounted Power Package for Board Mounted Power by Andrew Sawle, Martin Standing, Tim Sammon & Arthur Woodworth nternational Rectifier, Oxted, Surrey. England Abstract This

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages

Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages APPLICATION NOTE Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages Introduction This Application Note provides sample PCB land pattern

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path

ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path ECE410 Design Project Spring 2008 Design and Characterization of a CMOS 8-bit Microprocessor Data Path Project Summary This project involves the schematic and layout design of an 8-bit microprocessor data

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets. INF4420 Layout and CMOS processing technology Spring 2012 1 / 76 Outline CMOS Fabrication overview Design rules Layout of passive and active componets Packaging 2 / 76 Introduction As circuit designers

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Assembly of LPCC Packages AN-0001

Assembly of LPCC Packages AN-0001 Assembly of LPCC Packages AN-0001 Surface Mount Assembly and Handling of ANADIGICS LPCC Packages 1.0 Overview ANADIGICS power amplifiers are typically packaged in a Leadless Plastic Chip Carrier (LPCC)

More information

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com

Introduction to Semiconductor Manufacturing Technology. Chapter 1, Introduction. Hong Xiao, Ph. D. hxiao89@hotmail.com Introduction to Semiconductor Manufacturing Technology Chapter 1, Introduction Hong Xiao, Ph. D. hxiao89@hotmail.com Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 Objective After taking this

More information

Fabrication and Manufacturing (Basics) Batch processes

Fabrication and Manufacturing (Basics) Batch processes Fabrication and Manufacturing (Basics) Batch processes Fabrication time independent of design complexity Standard process Customization by masks Each mask defines geometry on one layer Lower-level masks

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

AN900 APPLICATION NOTE

AN900 APPLICATION NOTE AN900 APPLICATION NOTE INTRODUCTION TO SEMICONDUCTOR TECHNOLOGY INTRODUCTION by Microcontroller Division Applications An integrated circuit is a small but sophisticated device implementing several electronic

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

Sheet Resistance = R (L/W) = R N ------------------ L

Sheet Resistance = R (L/W) = R N ------------------ L Sheet Resistance Rewrite the resistance equation to separate (L / W), the length-to-width ratio... which is the number of squares N from R, the sheet resistance = (σ n t) - R L = -----------------------

More information

Application Note AN-1080. DirectFET Technology Inspection Application Note

Application Note AN-1080. DirectFET Technology Inspection Application Note Application Note AN-1080 DirectFET Technology Inspection Application Note Table of Contents Page Inspection techniques... 3 Examples of good assembly... 3 Summary of rejection criteria... 4 Types of faults...

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

CHAPTER 16 MEMORY CIRCUITS

CHAPTER 16 MEMORY CIRCUITS CHPTER 6 MEMORY CIRCUITS Chapter Outline 6. atches and Flip-Flops 6. Semiconductor Memories: Types and rchitectures 6.3 Random-ccess Memory RM Cells 6.4 Sense-mplifier and ddress Decoders 6.5 Read-Only

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

IC Card & SIM card Connector. Selection Guide

IC Card & SIM card Connector. Selection Guide IC Card & SIM card Connector Selection Guide For more information Solution Way Co.,Ltd www.solutionway.com ydlee@solutionway.com Tel : 031-605-3800 Fax: 031-605-3801 H&V COMBO CARD CONNECTOR ---- 200 Series

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

An ASCII data format, used to describe a standard cell library

An ASCII data format, used to describe a standard cell library Advanced VLSI Design Standard Cell Library/ CMPE 641 An ASCII data format, used to describe a standard cell library Includes the design rules for routing and the Abstract of the cells, no information about

More information

Internet of Things (IoT) and its impact on Semiconductor Packaging

Internet of Things (IoT) and its impact on Semiconductor Packaging Internet of Things (IoT) and its impact on Semiconductor Packaging Dr. Nathapong Suthiwongsunthorn 21 November 2014 What is the IoT? From Wikipedia: The Internet of Things (IoT) is the interconnection

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

Lab 3 Layout Using Virtuoso Layout XL (VXL)

Lab 3 Layout Using Virtuoso Layout XL (VXL) Lab 3 Layout Using Virtuoso Layout XL (VXL) This Lab will go over: 1. Creating layout with Virtuoso layout XL (VXL). 2. Transistor Chaining. 3. Creating Standard cell. 4. Manual Routing 5. Providing Substrate

More information

CMOS Binary Full Adder

CMOS Binary Full Adder CMOS Binary Full Adder A Survey of Possible Implementations Group : Eren Turgay Aaron Daniels Michael Bacelieri William Berry - - Table of Contents Key Terminology...- - Introduction...- 3 - Design Architectures...-

More information

Thin Is In, But Not Too Thin!

Thin Is In, But Not Too Thin! Thin Is In, But Not Too Thin! K.V. Ravi Crystal Solar, Inc. Abstract The trade-off between thick (~170 microns) silicon-based PV and thin (a few microns) film non-silicon and amorphous silicon PV is addressed

More information

Lecture 5: Gate Logic Logic Optimization

Lecture 5: Gate Logic Logic Optimization Lecture 5: Gate Logic Logic Optimization MAH, AEN EE271 Lecture 5 1 Overview Reading McCluskey, Logic Design Principles- or any text in boolean algebra Introduction We could design at the level of irsim

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY

Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Surface Mount Technology cooling for high volumes applications by: Cesare Capriz Aavid Thermalloy via XXV Aprile 32 Cadriano (BO) ITALY Abstract: The automotive technology is fast moving in integrating

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Electronic Circuit Construction:

Electronic Circuit Construction: Electronic Circuit Construction: Various methods are used for building electronic circuits. The method that you choose depends on a number of factors, including the resources available to you and whether

More information

Layout of Multiple Cells

Layout of Multiple Cells Layout of Multiple Cells Beyond the primitive tier primitives add instances of primitives add additional transistors if necessary add substrate/well contacts (plugs) add additional polygons where needed

More information

TowerJazz High Performance SiGe BiCMOS processes

TowerJazz High Performance SiGe BiCMOS processes TowerJazz High Performance SiGe BiCMOS processes 2 Comprehensive Technology Portfolio 0.50 µm 0.35 µm 0.25 µm 0.18/0.16/0.152 µm 0.13 0.13µm BiCMOS, SiGe SiGe SiGe SiGe Power/BCD BCD BCD Power/BCD Image

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

IXAN0052 IXAN0052. New Power Electronic Components for Materials Handling Drive. Systems. Andreas Lindemann. IXYS Semiconductor GmbH

IXAN0052 IXAN0052. New Power Electronic Components for Materials Handling Drive. Systems. Andreas Lindemann. IXYS Semiconductor GmbH New Power Electronic Components for Materials Handling Drive Systems Andreas Lindemann IXYS Semiconductor GmbH Postfach 1180, D { 68619 Lampertheim www.ixys.net There is a variety of drives in lift trucks

More information

Tanner EDA L-edit (Layout Editor)

Tanner EDA L-edit (Layout Editor) Tanner EDA L-edit (Layout Editor) Tanner Tools Speeding Concept to Silicon EDA= Electronic Design and Automation NOTE: This tutorial was constructed in L-edit version 1.15 (c. October 2007) http://www.tanner.com/eda/

More information

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices.

Here we introduced (1) basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Outline Here we introduced () basic circuit for logic and (2)recent nano-devices, and presented (3) some practical issues on nano-devices. Circuit Logic Gate A logic gate is an elemantary building block

More information

Thermal Load Boards Improve Product Development Process

Thermal Load Boards Improve Product Development Process Thermal Load Boards Improve Product Development Process Bernie Siegal Thermal Engineering Associates, Inc. 2915 Copper Road Santa Clara, CA 95051 USA P: 650-961-5900 F: 650-227-3814 E: bsiegal@thermengr.com

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation

Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation 1 Analyzing Electrical Effects of RTA-driven Local Anneal Temperature Variation Vivek Joshi, Kanak Agarwal*, Dennis Sylvester, David Blaauw Electrical Engineering & Computer Science University of Michigan,

More information

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Module 4 : Propagation Delays in MOS Lecture 22 : Logical Effort Calculation of few Basic Logic Circuits Objectives In this lecture you will learn the following Introduction Logical Effort of an Inverter

More information

HI-200, HI-201. Features. Dual/Quad SPST, CMOS Analog Switches. Applications. Ordering Information. Functional Diagram FN3121.9

HI-200, HI-201. Features. Dual/Quad SPST, CMOS Analog Switches. Applications. Ordering Information. Functional Diagram FN3121.9 Data Sheet FN3121.9 Dual/Quad SPST, CMOS Analog Switches HI-200/HI-201 (dual/quad) are monolithic devices comprising independently selectable SPST switches which feature fast switching speeds (HI-200 240ns,

More information

The State-of-the-Art in IC Reverse Engineering

The State-of-the-Art in IC Reverse Engineering The State-of-the-Art in IC Reverse Engineering Randy Torrance and Dick James Chipworks Inc. 3685 Richmond Road, Ottawa, Ontario, Canada K2H 5B7 rtorrance@chipworks.com, djames@chipworks.com Abstract. This

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

RAM & ROM Based Digital Design. ECE 152A Winter 2012

RAM & ROM Based Digital Design. ECE 152A Winter 2012 RAM & ROM Based Digital Design ECE 152A Winter 212 Reading Assignment Brown and Vranesic 1 Digital System Design 1.1 Building Block Circuits 1.1.3 Static Random Access Memory (SRAM) 1.1.4 SRAM Blocks in

More information

LM78XX Series Voltage Regulators

LM78XX Series Voltage Regulators LM78XX Series Voltage Regulators General Description Connection Diagrams The LM78XX series of three terminal regulators is available with several fixed output voltages making them useful in a wide range

More information

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING

CAD TOOLS FOR VLSI. FLOORPLANNING Page 1 FLOORPLANNING FLOORPLANNING Page 1 FLOORPLANNING Floorplanning: taking layout information into account at early stages of the design process. BEHAVIORAL D. STRUCTURAL D. Systems Algorithms Processors Register transfers

More information

3D innovations: From design to reliable systems

3D innovations: From design to reliable systems 3D innovations: From design to reliable systems Uwe Knöchel, Andy Heinig Fraunhofer IIS, Design Automation Division Zeunerstraße 38, 01069 Dresden uwe.knoechel@eas.iis.fraunhofer.de Phone: +49 351 4640

More information

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view. Rev. 1.

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view.  Rev. 1. Application Note PAC-006 By J. Lu, Y. Ding, S. Liu, J. Gong, C. Yue July 2012 Molded Chip Scale Package Assembly Guidelines Introduction to Molded Chip Scale Package A chip scale package (CSP) has direct

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

CADENCE LAYOUT TUTORIAL

CADENCE LAYOUT TUTORIAL CADENCE LAYOUT TUTORIAL Creating Layout of an inverter from a Schematic: Open the existing Schematic Page 1 From the schematic editor window Tools >Design Synthesis >Layout XL A window for startup Options

More information

Low Power and Reliable SRAM Memory Cell and Array Design

Low Power and Reliable SRAM Memory Cell and Array Design Springer Series in Advanced Microelectronics 31 Low Power and Reliable SRAM Memory Cell and Array Design Bearbeitet von Koichiro Ishibashi, Kenichi Osada 1. Auflage 2011. Buch. XI, 143 S. Hardcover ISBN

More information

CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines

CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines CAPACITIVE SENSING MADE EASY, Part 2 Design Guidelines By Pushek Madaan and Priyadeep Kaur, Cypress Semiconductor Corp. When it comes to capacitive sensing design, layout plays a crucial role. Giving importance

More information

Our Embedded Dream of the Invisible Future

Our Embedded Dream of the Invisible Future Our Embedded Dream of the Invisible Future Since the invention of semiconductor chips, the evolution of mankind s culture, society and lifestyle has accelerated at a pace never before experienced. Information

More information

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL.

Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Ultra Low Profile Silicon Capacitors (down to 80 µm) applied to Decoupling Applications. Results on ESR/ESL. Laurent Lengignon, Laëtitia Omnès, Frédéric Voiron IPDiA, 2 rue de la girafe, 14000 Caen, France

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

NBB-402. RoHS Compliant & Pb-Free Product. Typical Applications

NBB-402. RoHS Compliant & Pb-Free Product. Typical Applications Typical Applications Narrow and Broadband Commercial and Military Radio Designs Linear and Saturated Amplifiers 0 RoHS Compliant & Pb-Free Product NBB-402 CASCADABLE BROADBAND GaAs MMIC AMPLIFIER DC TO

More information

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays

Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays Amorphous Silicon Backplane with Polymer MEMS Structures for Electrophoretic Displays J.H. Daniel 1, a, B.S. Krusor 1, N. Chopra 2, R.A. Street 1, P.M. Kazmaier 2, S.E. Ready 1, J.H. Ho 1 1 Palo Alto Research

More information

Statistical Metrology: Tools for Understanding Variation

Statistical Metrology: Tools for Understanding Variation Future Fab International, December 1996. Statistical Metrology: Tools for Understanding Duane Boning and James Chung ABSTRACT As parametric variation increases in importance with shrinking dimensions and

More information

TQP4M3019 Data Sheet. SP3T High Power 2.6V 2x2 mm CDMA Antenna Switch. Functional Block Diagram. Features. Product Description.

TQP4M3019 Data Sheet. SP3T High Power 2.6V 2x2 mm CDMA Antenna Switch. Functional Block Diagram. Features. Product Description. Functional Block Diagram Product Description TriQuint s TQP4M3019 is a high power antenna switch in a single pole three throw (SP3T) configuration. The die utilizes TriQuint s PHEMT MMIC switch process

More information

Accelerometer and Gyroscope Design Guidelines

Accelerometer and Gyroscope Design Guidelines Application Note Accelerometer and Gyroscope Design Guidelines PURPOSE AND SCOPE This document provides high-level placement and layout guidelines for InvenSense MotionTracking devices. Every sensor has

More information

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Introduction There is an industry-wide trend towards using the smallest package possible for a given pin count. This is driven primarily

More information

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990

Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 Non-Contact Test Access for Surface Mount Technology IEEE 1149.1-1990 ABSTRACT Mechanical and chemical process challenges initially limited acceptance of surface mount technology (SMT). As those challenges

More information

LM2704 Micropower Step-up DC/DC Converter with 550mA Peak Current Limit

LM2704 Micropower Step-up DC/DC Converter with 550mA Peak Current Limit Micropower Step-up DC/DC Converter with 550mA Peak Current Limit General Description The LM2704 is a micropower step-up DC/DC in a small 5-lead SOT-23 package. A current limited, fixed off-time control

More information

DVD-111C Advanced Hand Soldering Techniques

DVD-111C Advanced Hand Soldering Techniques DVD-111C Advanced Hand Soldering Techniques Below is a copy of the narration for DVD-111C. The contents for this script were developed by a review group of industry experts and were based on the best available

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

PowerPoint: Graphics and SmartArt

PowerPoint: Graphics and SmartArt PowerPoint: Graphics and SmartArt Contents Inserting Objects... 2 Picture from File... 2 Clip Art... 2 Shapes... 3 SmartArt... 3 WordArt... 3 Formatting Objects... 4 Move a picture, shape, text box, or

More information