Virtual Prototyping And Electrical Signoff For The PCB Engineer

Size: px
Start display at page:

Download "Virtual Prototyping And Electrical Signoff For The PCB Engineer"

Transcription

1 Virtual Prototyping And Electrical Signoff For The PCB Engineer

2 Agenda The electrical signoff challenge Optimizing a virtual prototype process 2

3 High-speed Design Trends TLA2014 snapshot 86% designed for SI 92% of winning designs All computer, consumer, telecoms designed for SI 74% designed for PI Largest % nets high-speed: 97% SI up 5%; PI up 15% over

4 What Is Electrical Signoff? Signoff is a process of verification that a design must complete before it can be sent to manufacturing Terminology used in the IC space for years Increasing use in the PCB domain 4

5 Your Design Likely Has a Problem (How do you find it?) Even If You Simulate Do you simulate every net? Do you consider EMI? Do you analyze the PDN? 5

6 Design Guideline Compliance the Hard Way Final Signoff SI/EMC Expert Design guideline interpretation Design guideline deviations Visual Inspection Low coverage Time consuming Error prone Bottleneck 6

7 High Speed Design Paradigm Shift Everything Must be Verified Need improved electrical signoff process Remove signoff bottleneck Better design guideline compliance checking Higher analysis coverage Higher percentage of nets Details that cannot be simulated At 28gbps the domain is the entire board... everything messes with everything else Scott McMorrow, Teraspeed Consulting Group From DesignCon 2014 Panel 7

8 Automating Electrical Signoff Encapsulate and Deploy Design Guidelines and Expert Know-How Reliable Reusable Repeatable PCB/HW Designers Out-of-the-box and/or custom rules Encrypted HL DRC rule set SI/EMC Expert Secure Deployment Implementation, checking & signoff 8

9 HyperLynx DRC - Electrical Rule Checking Design rule checks Automates design checks, eliminating errors from manual inspection Reduces days of manual design checks to a few hours Includes built-in rules Design rule checks for EMI, SI, PI Items not quickly/easily simulated Allows for rule customization Easily access database objects through automation Advanced geometric operations Script writing/debugging environment 9

10 HyperLynx DRC Driven Electrical Signoff Minimize problems found in signoff Existing Constraints Constraints Entry Design Entry SI/PI Analysis Layout In Process Checking Minimize signoff bottleneck Rule Set EMI/EMC errors PI errors PI simulation HyperLynx DRC SI errors SI simulation Signoff 10

11 HyperLynx DRC Built-in DRCs 23 built-in DRCs included With editable parameters Adaptable to any design EMI examples Traces crossing splits, reference plane changes Nets near edge, coupling to I/O nets SI examples Long nets (SI risk), termination check Impedance changes on net PI examples Power net width Decoupling cap proximity 11

12 Concurrent Electrical Rule Check in Layout Subject matter experts create their own rule sets Using built-in/custom rules Appropriately adjust parameters Encapsulating their design expertise HyperLynx DRC launched remotely using experts setup HyperLynx DRC feeds hazards back into layout Necessary layout changes are highlighted and can be corrected 12

13 Mentor has the Total Signoff Solution Layout rules validation (HyperLynx DRC) Mitigate SI/PI/EMI issues early-on, accelerate the design cycle Electromagnetic compatibility (HyperLynx DRC) Product will not radiate excessively or be overly sensitive to external radiation Signal integrity and timing High-speed signaling (HyperLynx GHz, Nimbic, DRC) Memory (HyperLynx DDR Wizard) Power integrity (HyperLynx PI, DRC) PDN effectively and efficiently delivers required power Manufacturability (Valor) Product can be physically produced with high yield Thermal compliance Fast thermal analysis (HyperLynx Thermal) Detailed thermal w/enclosure (FloTHERM) 13

14 Electrical Signoff Flow Minimize problems found in signoff Existing Constraints Constraints Entry Design Entry SI/PI Analysis Layout In Process Checking Minimize signoff bottleneck Rule Set EMI/EMC errors PI errors HyperLynx - Nimbic PI simulation HyperLynx DRC SI errors SI simulation Signoff 14

15 3D Electromagnetic Field Solver Modeling Package / PCB Design Entry Electromagnetic Simulation Intuitive, scripted GUI specifically for die/package/pcb structures Direct import of all major layout databases Automated cropping and simplified port setup Powerful reporting and viewing of results Chip Design Electric / Magnetic Field Current Density Extracted Circuit S-parameters SPICE Waveforms, Eye Diagram

16 Nimbic Solver Technologies Fast full package model: Quasistatic Power delivery network and power-aware SI: Hybrid SI/PI Vias, multi-gbps interconnect, and EMI: Full-wave 16

17 Nimbic 3D Full-wave Broadband Solver 40 GHz Correlation 8 Layer PCB Published measurement data for Via Transitions up to 40 GHz, hard to model via/plane interaction Excellent correlation with Measurement data [Ref] of (a) S11 (b) S12 for 1000 frequency points [Ref] G. Selli, C.Schuster, Y.H.Kwark, M.B. Ritter and J.L. Drewniak, "Developing a Physical Model for Vias - Part II: Coupled and.ground Return Vias", Proc. of DesignCon 2007, Jan.29- Feb , Santa Clara. 17

18 Nimbic Quasistatic Fast Package Extraction 16 level stacked-die complex package S-parameter extraction with full-wave and quasistatic Excellent correlation (quasistatic to 2GHz) Reference Solution Nimbic Full-wave Nimbic Quasistatic Time 80h 20h 9 min Memory 120GB 40GB 6GB 18

19 Integration with HyperLynx SI/PI Powered by HyperLynx 9.3 HyperLynx LineSim Ghz 3D Via Solver HyperLynx BoardSim Export 3D extracted model to LineSim Series component support Export area to Nimbic HyperLynx 9.3/9.4 HyperLynx PI Trace routed power Improved capture of 3D effects 2H

20 HyperLynx LineSim Nimbic Integration Integrated 3D full wave via solution View 3D model in Nimbic full-wave 20

21 HyperLynx BoardSim Nimbic Integration Select/filter for nets of interest Create target 3D area 21

22 HyperLynx BoardSim Nimbic Integration Port names automatically created Choose ports 22

23 HyperLynx BoardSim Nimbic Integration Export 3D circuit to Nimbic 23

24 HyperLynx BoardSim Nimbic Integration Ports automatically created Based on HyperLynx BoardSim assignments 24

25 HyperLynx BoardSim Nimbic Integration Solve the structure 25

26 HyperLynx BoardSim Nimbic Integration Detailed debug in HyperLynx LineSim Includes 3D circuit s-parameter model 26

27 Mentor Graphics Corp. 27 Company Confidential

28 28

29 29

30 HyperLynx PI Decoupling Nimbic Integration (9.4) Trace routed power support for AC analysis Improved capture of 3D effects Coplanar coupling between power nets 30

31 HyperLynx Accuracy & Performance 20X faster with same accuracy as H-Spice Fujitsu recommends HyperLynx with design kits DDR4 power-aware models, reference boards We validated Mentor s power-aware SI solution with a complex DDR4 system design using IBIS 5.0 models. We were able to achieve excellent correlation with transistor-level model with a 95% reduction in simulation time. Atsushi Sato, Fujitsu Semiconductor 31

32 Introducing HyperLynx DRC Into Ecosystems Cell phone chipset leader Reference designs Design verification services Automates design verification Intelligent design guidelines Eliminated manual checking 40+ custom rules Reduces verification time Eliminates bulk simulation Reduces results interpretation 32

33 Signoff Summary PCB design needs to be done right the first time Compliance with requirements is the way to validate a successful PCB design The signoff concept has been used to reduce risk in IC design PCB signoff is here today HyperLynx SI/PI simulation With Nimbic solver technology HyperLynx DRC verification 33

34 HyperLynx Based Electrical Signoff Accelerate Time to Electrical Performance Sign-off Reduce Time to Complete Final Design, Check, Signoff Reduce/Eliminate Design Iterations Find and Fix Hard to Locate Design Flaws Improve overall design quality 100% Coverage for Catastrophic Errors Improved Design Margins Reduces Field Failure Rates Elevates Novice Engineers Results to Expert Levels Lower Development Cost Structure Minimize Manual Checking Costs Minimize Design Respin Costs Minimize Engineering Costs due to Schedule Delays Reduced Field Failures Lowers Support/Debug Costs 34

35

IBIS for SSO Analysis

IBIS for SSO Analysis IBIS for SSO Analysis Asian IBIS Summit, November 15, 2010 (Presented previously at Asian IBIS Summits, Nov. 9 & 12, 2010) Haisan Wang Joshua Luo Jack Lin Zhangmin Zhong Contents Traditional I/O SSO Analysis

More information

CHIP-PKG-PCB Co-Design Methodology

CHIP-PKG-PCB Co-Design Methodology CHIP-PKG-PCB Co-Design Methodology Atsushi Sato Yoshiyuki Kimura Motoaki Matsumura For digital devices integrating an image-processing LSI, performance improvement, cost cutting and reduction of the time

More information

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014

Sentinel-SSO: Full DDR-Bank Power and Signal Integrity. Design Automation Conference 2014 Sentinel-SSO: Full DDR-Bank Power and Signal Integrity Design Automation Conference 2014 1 Requirements for I/O DDR SSO Analysis Modeling Package and board I/O circuit and layout PI + SI feedback Tool

More information

Power Delivery Network (PDN) Analysis

Power Delivery Network (PDN) Analysis Power Delivery Network (PDN) Analysis Edoardo Genovese Importance of PDN Design Ensure clean power Power Deliver Network (PDN) Signal Integrity EMC Limit Power Delivery Network (PDN) VRM Bulk caps MB caps

More information

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits

IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits IC-EMC Simulation of Electromagnetic Compatibility of Integrated Circuits SUMMARY CONTENTS 1. CONTEXT 2. TECHNOLOGY TRENDS 3. MOTIVATION 4. WHAT IS IC-EMC 5. SUPPORTED STANDARD 6. EXAMPLES CONTEXT - WHY

More information

Connector Launch Design Guide

Connector Launch Design Guide WILD RIVER TECHNOLOGY LLC Connector Launch Design Guide For Vertical Mount RF Connectors James Bell, Director of Engineering 4/23/2014 This guide will information on a typical launch design procedure,

More information

Automated EMC Rule Checking for PCB Designs in the Real-World

Automated EMC Rule Checking for PCB Designs in the Real-World Automated EMC Rule Checking for PCB Designs in the Real-World Bruce Archambeault, PhD IEEE Fellow Archambeault EMI/EMC Enterprises Missouri University of Science & Technology Adjunct Professor IBM Distinguished

More information

PADS PCB Design Solutions

PADS PCB Design Solutions start smarter D A T A S H E E T PADS PCB Design Solutions The standard in desktop PCB design FEATURES AND BENEFITS: Easy to learn and use Proven technology for PCB design, analysis, and verification Accurately

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Yu Xuequan, Yan Hang, Zhang Gezi, Wang Haisan Huawei Technologies Co., Ltd Lujiazui Subpark, Pudong Software

More information

Temperature-Aware Design of Printed Circuit Boards

Temperature-Aware Design of Printed Circuit Boards Temperature-Aware Design of Printed Circuit Boards Co-design of PCBs for electrical, mechanical and thermal engineers Based on EDA Design for Manufacturing Flows CDNLive 2007 Dirk Niemeier Support Manager

More information

Power Noise Analysis of Large-Scale Printed Circuit Boards

Power Noise Analysis of Large-Scale Printed Circuit Boards Power Noise Analysis of Large-Scale Printed Circuit Boards V Toshiro Sato V Hiroyuki Adachi (Manuscript received July 6, 2007) Recent increases in digital-equipment operation frequency and decreases in

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan.

An Advanced Behavioral Buffer Model With Over-Clocking Solution. Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. An Advanced Behavioral Buffer Model With Over-Clocking Solution Yingxin Sun, Joy Li, Joshua Luo IBIS Summit Santa Clara, CA Jan. 31, 2014 Agenda 1. SPICE Model and Behavioral Buffer Model 2. Over-Clocking

More information

PCB Radiation Mechanisms: Using Component-Level Measurements to

PCB Radiation Mechanisms: Using Component-Level Measurements to Radiation Directly from PCB Structures PCB Radiation Mechanisms: Using Component-Level Measurements to Determine System-Level Radiated Emissions Signal or component voltage appears between two good antenna

More information

11. High-Speed Differential Interfaces in Cyclone II Devices

11. High-Speed Differential Interfaces in Cyclone II Devices 11. High-Speed Differential Interfaces in Cyclone II Devices CII51011-2.2 Introduction From high-speed backplane applications to high-end switch boxes, low-voltage differential signaling (LVDS) is the

More information

Streamlining the creation of high-speed interconnect on digital PCBs

Streamlining the creation of high-speed interconnect on digital PCBs Streamlining the creation of high-speed interconnect on digital PCBs The Cadence integrated high-speed design and analysis environment streamlines creation of high-speed interconnect on digital PCBs. A

More information

Title: Low EMI Spread Spectrum Clock Oscillators

Title: Low EMI Spread Spectrum Clock Oscillators Title: Low EMI oscillators Date: March 3, 24 TN No.: TN-2 Page 1 of 1 Background Title: Low EMI Spread Spectrum Clock Oscillators Traditional ways of dealing with EMI (Electronic Magnetic Interference)

More information

ANSYS for Tablet Computer Design

ANSYS for Tablet Computer Design ANSYS for Tablet Computer Design Steven G. Pytel, PhD. Signal Integrity Product Manager 1 Confidence by Design Chicago, IL June 14, 2012 Tablets in our daily lives Tablets are very entertaining, stylish

More information

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit

Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Addressing the DDR3 design challenges using Cadence DDR3 Design-In Kit Martin Biehl (mbiehl@cadence.com) Ecole d'électronique numérique Fréjus 27.Nov.2012 Agenda 1. Key Design Challenges 2. DDR3 Design-In

More information

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes

Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design. Zoltan Cendes Electromagnetic and Circuit Co-Simulation and the Future of IC and Package Design Zoltan Cendes Wireless Consumer Devices PCB noise System SI Predicts Receiver Desensitization System EMI Predicts Display

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide

PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Application Note PL-277x Series SuperSpeed USB 3.0 SATA Bridge Controllers PCB Layout Guide Introduction This document explains how to design a PCB with Prolific PL-277x SuperSpeed USB 3.0 SATA Bridge

More information

Module 22: Signal Integrity

Module 22: Signal Integrity Module 22: Signal Integrity Module 22: Signal Integrity 22.1 Signal Integrity... 22-1 22.2 Checking Signal Integrity on an FPGA design... 22-3 22.2.1 Setting Up...22-3 22.2.2 Importing IBIS Models...22-3

More information

Minimizing crosstalk in a high-speed cable-connector assembly.

Minimizing crosstalk in a high-speed cable-connector assembly. Minimizing crosstalk in a high-speed cable-connector assembly. Evans, B.J. Calvo Giraldo, E. Motos Lopez, T. CERN, 1211 Geneva 23, Switzerland John.Evans@cern.ch Eva.Calvo.Giraldo@cern.ch Tomas.Motos-Lopez@cern.ch

More information

Effective Power Integrity Floor-Planning and Success Stories in Japan

Effective Power Integrity Floor-Planning and Success Stories in Japan Effective Power Integrity Floor-Planning and Success Stories in Japan Giga Hertz Technology Inc, CEO Ryuji Kawamura 1 Agenda 1. Early Stage PI analysis Needs 2. Basic PI theories 3. Floor-planning PI analysis

More information

PADS PCB Design Solutions

PADS PCB Design Solutions PADS PCB Design Solutions The standard in desktop PCB design PCB Flow D A T A S H E E T Major product benefits Proven, reliable PCB design technology Powerful, yet easy-to-use Scalable to grow as your

More information

EM Noise Mitigation in Circuit Boards and Cavities

EM Noise Mitigation in Circuit Boards and Cavities EM Noise Mitigation in Circuit Boards and Cavities Faculty (UMD): Omar M. Ramahi, Neil Goldsman and John Rodgers Visiting Professors (Finland): Fad Seydou Graduate Students (UMD): Xin Wu, Lin Li, Baharak

More information

AGENDA Tuesday March 17. 2015

AGENDA Tuesday March 17. 2015 AGENDA Tuesday March 17. 2015 08:30 08:55 REGISTRATION 08:55 09:00 WELCOME by Bjørn Gjerstad /CADPIT/DNU 09:00 09:45 Why E-CAD Libraries and Management of Electronic Components Matters by Robert Huxel

More information

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H

IIB. Complete PCB Design Using OrCAD Capture and PCB Editor. Kraig Mitzner. ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H Complete PCB Design Using OrCAD Capture and PCB Editor Kraig Mitzner IIB ~»* ' AMSTERDAM BOSTON HEIDELBERG LONDON ^ i H NEW YORK * OXFORD PARIS SAN DIEGO ШШЯтИ' ELSEVIER SAN FRANCISCO SINGAPORE SYDNEY

More information

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011

VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 VARIATION-AWARE CUSTOM IC DESIGN REPORT 2011 Amit Gupta President and CEO, Solido Design Automation Abstract This report covers the results of an independent worldwide custom IC design survey. The survey

More information

Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer

Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer Simulation Techniques for Tablet and Mobile Phone Design Bill McGinn; Ansys Senior Application Engineer 1 Tablets in our daily lives Tablets are very entertaining, stylish and powerful Shopping, reading,

More information

Agilent EEsof EDA. www.agilent.com/find/eesof

Agilent EEsof EDA. www.agilent.com/find/eesof Agilent EEsof EDA This document is owned by Agilent Technologies, but is no longer kept current and may contain obsolete or inaccurate references. We regret any inconvenience this may cause. For the latest

More information

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification

Virtuoso Analog Design Environment Family Advanced design simulation for fast and accurate verification Advanced design simulation for fast and accurate verification The Cadence Virtuoso Analog Design Environment family of products provides a comprehensive array of capabilities for the electrical analysis

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Engineering Cloud: Flexible and Integrated Development Environment

Engineering Cloud: Flexible and Integrated Development Environment Engineering Cloud: Flexible and Integrated Development Environment Seiichi Saito Akira Ito Hiromu Matsumoto Eiji Ohta Nowadays product development must be done speedily and in a way that can respond to

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical delivers superior quality

More information

PADS PCB Design Solutions The standard in desktop PCB design

PADS PCB Design Solutions The standard in desktop PCB design PADS PCB Design Solutions The standard in desktop PCB design PCB Flow D A T A S H E E T Major product benefits = Proven, reliable PCB design technology = Powerful, yet easy-to-use = Scalable to grow as

More information

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces

Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Time and Frequency Domain Analysis for Right Angle Corners on Printed Circuit Board Traces Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214 Abstract: For years,

More information

Your End-to-End PCB products design and Manufacturing in the 21 st Century

Your End-to-End PCB products design and Manufacturing in the 21 st Century Your End-to-End PCB products design and Manufacturing in the 21 st Century Who Are We? An engineering and manufacturing company dedicated to the advancement of technology that provides solutions related

More information

Measurement of Multi-Port S-Parameters using Four-Port Network Analyzer

Measurement of Multi-Port S-Parameters using Four-Port Network Analyzer JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.6, DECEMBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.6.589 Measurement of Multi-Port S-Parameters using Four-Port Network Analyzer Jongmin

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE

CONDUCTED EMISSION MEASUREMENT OF A CELL PHONE PROCESSOR MODULE Progress In Electromagnetics esearch C, Vol. 42, 191 203, 2013 CONDUCTED EMISSION MEASUEMENT OF A CELL PHONE POCESSO MODULE Fayu Wan *, Junxiang Ge, and Mengxiang Qu Nanjing University of Information Science

More information

Grounding Demystified

Grounding Demystified Grounding Demystified 3-1 Importance Of Grounding Techniques 45 40 35 30 25 20 15 10 5 0 Grounding 42% Case 22% Cable 18% Percent Used Filter 12% PCB 6% Grounding 42% Case Shield 22% Cable Shielding 18%

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Application Note: PCB Design By: Wei-Lung Ho

Application Note: PCB Design By: Wei-Lung Ho Application Note: PCB Design By: Wei-Lung Ho Introduction: A printed circuit board (PCB) electrically connects circuit components by routing conductive traces to conductive pads designed for specific components

More information

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS

A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS ICONIC 2007 St. Louis, MO, USA June 27-29, 2007 A NEAR FIELD INJECTION MODEL FOR SUSCEPTIBILITY PREDICTION IN INTEGRATED CIRCUITS Ali Alaeldine 12, Alexandre Boyer 3, Richard Perdriau 1, Sonia Ben Dhia

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Pre-Compliance Test Method for Radiated Emissions of Automotive Components Using Scattering Parameter Transfer Functions

Pre-Compliance Test Method for Radiated Emissions of Automotive Components Using Scattering Parameter Transfer Functions PreCompliance Test Method for Radiated Emissions of Automotive Components Using Scattering Parameter Transfer Functions D. Schneider 1*, S. Tenbohlen 1, W. Köhler 1 1 Institute of Power Transmission and

More information

Designing a Schematic and Layout in PCB Artist

Designing a Schematic and Layout in PCB Artist Designing a Schematic and Layout in PCB Artist Application Note Max Cooper March 28 th, 2014 ECE 480 Abstract PCB Artist is a free software package that allows users to design and layout a printed circuit

More information

Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950

Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950 Simulazione 3D elettromagnetica Time e Frequency domain Forum R.F.& Wireless, Roma il 21 Ottobre 2008 Dr. Emmanuel Leroux Country Manager for Italy emmanuel.leroux@cst.com 340 3768950 1 Agenda CST company

More information

Simulation and Design Route Development for ADEPT-SiP

Simulation and Design Route Development for ADEPT-SiP Simulation and Design Route Development for ADEPT-SiP Alaa Abunjaileh, Peng Wong and Ian Hunter The Institute of Microwaves and Photonics School of Electronic and Electrical Engineering The University

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

Cadence SiP Design Connectivity-driven implementation and optimization of singleor multi-chip SiPs

Cadence SiP Design Connectivity-driven implementation and optimization of singleor multi-chip SiPs Connectivity-driven implementation and optimization of singleor multi-chip SiPs System-in-package (SiP) implementation presents new hurdles for system architects and designers. Conventional EDA solutions

More information

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS W H I T E P A P E R LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS w w w. o d b - s a. c o m For more than twenty years,

More information

Tamura Closed Loop Hall Effect Current Sensors

Tamura Closed Loop Hall Effect Current Sensors Tamura Closed Loop Hall Effect Current Sensors AC, DC, & Complex Currents Galvanic Isolation Fast Response Wide Frequency Bandwidth Quality & Reliability RoHs Compliance Closed Loop Hall Effect Sensors

More information

Efficient Meshing in Sonnet

Efficient Meshing in Sonnet 100 Elwood Davis Road North Syracuse, NY 13212 USA Efficient Meshing in Sonnet Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH 2008 Sonnet Software, Inc. Sonnet is a registered trademark of Sonnet

More information

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation

AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation AVX EMI SOLUTIONS Ron Demcko, Fellow of AVX Corporation Chris Mello, Principal Engineer, AVX Corporation Brian Ward, Business Manager, AVX Corporation Abstract EMC compatibility is becoming a key design

More information

Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950

Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950 Simulazione 3D elettromagnetica Time e Frequency domain Forum R.F.& Wireless, Milano il 14 Febbraio 2008 Dr. Emmanuel Leroux Technical Sales Manager for Italy emmanuel.leroux@cst.com 0039 340 3768950 1

More information

Selecting the Optimum PCI Express Clock Source

Selecting the Optimum PCI Express Clock Source Selecting the Optimum PCI Express Clock Source PCI Express () is a serial point-to-point interconnect standard developed by the Component Interconnect Special Interest Group (PCI-SIG). lthough originally

More information

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation 3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation Runjing Zhou Inner Mongolia University E mail: auzhourj@163.com Jinsong Hu Cadence Design Systems E mail: jshu@cadence.com 17th IEEE Workshop

More information

Design Optimization of Printed Circuit Board Embedded Inductors through Genetic Algorithms with Verification by COMSOL

Design Optimization of Printed Circuit Board Embedded Inductors through Genetic Algorithms with Verification by COMSOL Design Optimization of Printed Circuit Board Embedded Inductors through Genetic Algorithms with Verification by COMSOL Mickey P. Madsen *, Jakob D. Mønster, Arnold Knott and Michael A.E. Andersen Technical

More information

Consulting. IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL

Consulting. IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL EMI-EMC EMC Theory and Test Consulting IEEE Joint Meeting Rockford, March 28, 2011 2011 ROY LEVENTHAL http://www.semiconductorsimulation.com http://www.semiconductormodel.com Roy.Leventhal@ieee.org 847-590-9398

More information

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS

PCB Design Conference - East Keynote Address EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS OOOO1 PCB Design Conference - East Keynote Address September 12, 2000 EMC ASPECTS OF FUTURE HIGH SPEED DIGITAL DESIGNS By Henry Ott Consultants Livingston, NJ 07039 (973) 992-1793 www.hottconsultants.com

More information

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO

Programming Matters. MLC NAND Reliability and Best Practices for Data Retention. Data I/O Corporation. Anthony Ambrose President & CEO Programming Matters MLC NAND Reliability and Best Practices for Data Retention Data I/O Corporation Anthony Ambrose President & CEO Flash Memory Summit 2013 Santa Clara, CA 1 Executive Summary As Process

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects. White Paper

Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects. White Paper Agilent Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects White Paper Improved Method for Characterizing and Modeling Gigabit Flex-Circuit Based Interconnects Eric

More information

Survey of CAE Software Companies

Survey of CAE Software Companies Survey of CAE Software Companies Design Tasks They are Active in 1 This Survey Dates From 2002 Warning: The CAE commercial software tool marketplace is dynamic and this survey by me is dated. Two additional,

More information

CADSTAR Training Centre >>>

CADSTAR Training Centre >>> TrainingServices CADSTAR Training Centre >>> People. Knowledge. Innovation. Our role is driven by demand and vindicated by success. Commercially orientated training courses provided by Quadra Solutions

More information

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit

Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Thermal Modeling Methodology for Fast and Accurate System-Level Analysis: Application to a Memory-on-Logic 3D Circuit Cristiano Santos 1,2, Pascal Vivet 1, Philippe Garrault 3, Nicolas Peltier 3, Sylvian

More information

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies

Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies Soonwook Hong, Ph. D. Michael Zuercher Martinson Harmonics and Noise in Photovoltaic (PV) Inverter and the Mitigation Strategies 1. Introduction PV inverters use semiconductor devices to transform the

More information

PCB Board Design. PCB boards. What is a PCB board

PCB Board Design. PCB boards. What is a PCB board PCB Board Design Babak Kia Adjunct Professor Boston University College of Engineering Email: bkia -at- bu.edu ENG SC757 - Advanced Microprocessor Design PCB boards What is a PCB board Printed Circuit Boards

More information

Transmission Line Terminations It s The End That Counts!

Transmission Line Terminations It s The End That Counts! In previous articles 1 I have pointed out that signals propagating down a trace reflect off the far end and travel back toward the source. These reflections can cause noise, and therefore signal integrity

More information

Abstract. Cycle Domain Simulator for Phase-Locked Loops

Abstract. Cycle Domain Simulator for Phase-Locked Loops Abstract Cycle Domain Simulator for Phase-Locked Loops Norman James December 1999 As computers become faster and more complex, clock synthesis becomes critical. Due to the relatively slower bus clocks

More information

How can I manage all automation software tasks in one engineering environment?

How can I manage all automation software tasks in one engineering environment? How can I manage all automation software tasks in one engineering environment? With Totally Integrated Automation Portal: One integrated engineering framework for all your automation tasks. Answers for

More information

First 40 Giga-bits per second Silicon Laser Modulator. Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

First 40 Giga-bits per second Silicon Laser Modulator. Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab First 40 Giga-bits per second Silicon Laser Modulator Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab 1 Agenda What We Are Announcing Silicon Photonics Re-cap Tera-Scale Computing Why

More information

How to make a Quick Turn PCB that modern RF parts will actually fit on!

How to make a Quick Turn PCB that modern RF parts will actually fit on! How to make a Quick Turn PCB that modern RF parts will actually fit on! By: Steve Hageman www.analoghome.com I like to use those low cost, no frills or Bare Bones [1] type of PCB for prototyping as they

More information

Recommendations for TDR configuration for channel characterization by S-parameters. Pavel Zivny IEEE 802.3 100GCU Singapore, 2011/03 V1.

Recommendations for TDR configuration for channel characterization by S-parameters. Pavel Zivny IEEE 802.3 100GCU Singapore, 2011/03 V1. Recommendations for TDR configuration for channel characterization by S-parameters Pavel Zivny IEEE 802.3 100GCU Singapore, 2011/03 V1.0 Agenda TDR/TDT measurement setup TDR/TDT measurement flow DUT electrical

More information

Testing Intelligent Device Communications in a Distributed System

Testing Intelligent Device Communications in a Distributed System Testing Intelligent Device Communications in a Distributed System David Goughnour (Triangle MicroWorks), Joe Stevens (Triangle MicroWorks) dgoughnour@trianglemicroworks.com United States Smart Grid systems

More information

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout

Application Note AN:005. FPA Printed Circuit Board Layout Guidelines. Introduction Contents. The Importance of Board Layout FPA Printed Circuit Board Layout Guidelines By Paul Yeaman Principal Product Line Engineer V I Chip Strategic Accounts Introduction Contents Page Introduction 1 The Importance of 1 Board Layout Low DC

More information

ZUKEN Soluzioni dinamiche per progettare sistemi Automotive. Claudio Meola Account Manager

ZUKEN Soluzioni dinamiche per progettare sistemi Automotive. Claudio Meola Account Manager ZUKEN Soluzioni dinamiche per progettare sistemi Automotive Claudio Meola Account Manager Zuken 2005 2006 Zuken an overview & positioning Heritage 30+ years experience delivering highvalue solutions packages

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

High-fidelity electromagnetic modeling of large multi-scale naval structures

High-fidelity electromagnetic modeling of large multi-scale naval structures High-fidelity electromagnetic modeling of large multi-scale naval structures F. Vipiana, M. A. Francavilla, S. Arianos, and G. Vecchi (LACE), and Politecnico di Torino 1 Outline ISMB and Antenna/EMC Lab

More information

Application Note, V 2.2, Nov. 2008 AP32091 TC1766. Design Guideline for TC1766 Microcontroller Board Layout. Microcontrollers. Never stop thinking.

Application Note, V 2.2, Nov. 2008 AP32091 TC1766. Design Guideline for TC1766 Microcontroller Board Layout. Microcontrollers. Never stop thinking. Application Note, V 2.2, Nov. 2008 AP32091 TC1766 Design Guideline for TC1766 Microcontroller Board Layout Microcontrollers Never stop thinking. Edition Published by Infineon Technologies AG 81726 München,

More information

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations

The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations The Evolution of ICT: PCB Technologies, Test Philosophies, and Manufacturing Business Models Are Driving In-Circuit Test Evolution and Innovations Alan J. Albee Teradyne Inc. North Reading, Massachusetts

More information

Role of Sockets in IC Product Life Cycle Ila Pal, Ironwood Electronics

Role of Sockets in IC Product Life Cycle Ila Pal, Ironwood Electronics Role of Sockets in IC Product Life Cycle Ila Pal, Ironwood Electronics Introduction: For over half a century, the semiconductor industry has been governed by a commonly known principle described as Moore

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Delivering Quality in Software Performance and Scalability Testing

Delivering Quality in Software Performance and Scalability Testing Delivering Quality in Software Performance and Scalability Testing Abstract Khun Ban, Robert Scott, Kingsum Chow, and Huijun Yan Software and Services Group, Intel Corporation {khun.ban, robert.l.scott,

More information

Realize Your Product Promise. DesignerSI

Realize Your Product Promise. DesignerSI Realize Your Product Promise DesignerSI Choose the integrated circuit, system and EM field simulation tool that sets the standard for accuracy. DesignerSI delivers easy signal-integrity, power-integrity

More information

EMC Expert System for Architecture Design

EMC Expert System for Architecture Design EMC Expert System for Architecture Design EMC Expert System for Architecture Design Marcel van Doorn marcel.van.doorn@philips.com Philips Electromagnetics Competence Center High Tech Campus 26, 5656 AE

More information

Performance Testing Uncovered

Performance Testing Uncovered Performance Testing Uncovered First Presented at: NobleStar Systems Corp. London, UK 26 Sept. 2003 Scott Barber Chief Technology Officer PerfTestPlus, Inc. Performance Testing Uncovered Page 1 Performance

More information

Data and Machine Architecture for the Data Science Lab Workflow Development, Testing, and Production for Model Training, Evaluation, and Deployment

Data and Machine Architecture for the Data Science Lab Workflow Development, Testing, and Production for Model Training, Evaluation, and Deployment Data and Machine Architecture for the Data Science Lab Workflow Development, Testing, and Production for Model Training, Evaluation, and Deployment Rosaria Silipo Marco A. Zimmer Rosaria.Silipo@knime.com

More information

Evaluation of the Surface State Using Charge Pumping Methods

Evaluation of the Surface State Using Charge Pumping Methods Evaluation of the Surface State Using Charge Pumping Methods Application Note 4156-9 Agilent 4155C/4156C Semiconductor Parameter Analyzer Introduction As device features get smaller, hot carrier induced

More information

On One Approach to Scientific CAD/CAE Software Developing Process

On One Approach to Scientific CAD/CAE Software Developing Process ISSN (Online): 1694-0784 ISSN (Print): 1694-0814 9 On One Approach to Scientific CAD/CAE Software Developing Process George Sergia 1, Alexander Demurov 2, George Petrosyan 3, Roman Jobava 4 1 Exact and

More information

Analog Integrated Circuit Design: Why?

Analog Integrated Circuit Design: Why? Abstract: What is analog? Everything we see, hear, and perceive in life is analog, from voice, music, and seismic activity to visual perception, voice recognition, and energy delivery. Consequently, all

More information

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design

Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Basics of Simulation Technology (SPICE), Virtual Instrumentation and Implications on Circuit and System Design Patrick Noonan Business Development Manager National Instruments Electronics Workbench Group

More information