7-segment Display & ADC Controls with 8051

Size: px
Start display at page:

Download "7-segment Display & ADC Controls with 8051"

Transcription

1 EEE3410 Microcontroller Applications LABORATORY Experiment 5 7-segment Display & ADC Controls with 8051 Name Class Date Class No. Marks 7-segment Display & ADC Controls Objectives To understand the connection of 7-segment display to 8051 To know how to write 8051 assembly program to control 7-segment display To understand the connection of an ADC to 8051 To know how to write 8051 assembly program to control an ADC to acquire data Equipment/Components Required PC compatible computer with Windows XP MedWin 8051 simulation software. ADC & 7-segment Display training board with power supply and connection cable HT C51 Writer floppy disk/usb flash disk Ver Author(s) Date Remark 1.0 KK SIN 9/2006 Laboratory Experiment 5 1

2 Part A: Background Information A1. The 7-segment LED numeric display A 7-segment LED numeric display contains 8 LEDs, of which 7 segments are arranged in the shape of the number 8 to display the digits 0-9, and the eighth one is the decimal point (DP). (Ignore the decimal point in this Laboratory.) Basically, it has one common lead (either anode or cathode) which is connected to the power supply, and the remaining 7 individual leads are for each segment. f e a g d b c Dp Segment Pattern Segment displays are driven by connecting each segment to a port bit, or they can be driven by decoder/driver IC designed for the purpose. A decoder/driver chip will accept a parallel input from a 8051 port (binary or ASCII) and drive the display to show the corresponding character. The table shows the corresponding connection of the 8 output port bits to the 8 input terminals of display segments. Output Port bit Display segment Dp g f e d c b a There are two common types of configurations; namely, the common-anode configuration and the common-cathode configuration. Common a b c d e f g Dp Common a b c d e f g Dp Common-anode configuration Common-cathode configuration Common-anode configuration Segment will light up if the output port bit is set LOW. Common-cathode configuration - Segment will light up if the output port bit is set HIGH. Normally a resistor of 330Ω is connected between each segment terminal and output port bit in order to protect the LED segment. In this laboratory, you will appreciate how to program the 8051 to control 7-segment LED numeric displays. 2 Experiment 5 Laboratory

3 EEE3410 Microcontroller Applications A2. Analog-to-digital conversion (A/D) Most real time data (e.g. temperature, voltage, velocity) are analog signal, i.e. the signal is continuous varying with time. Microcontroller is a digital device. It can only read and manipulate data in digital form. If this kind of real time analog data is being controlled by microcontroller, some means must be used first to convert the analog data to digital data before manipulating by microcontroller. The common process to get these analog data is to use a device called a transducer (also called sensor) to measure their values. The transducer normally converts measured value into electrical signal (which is either voltage or current) for further conversion to digital form. An example of transducer is a thermistor. Since its resistance varies with the ambient temperature, so the current passing through it will also vary. As a result, current value depends on the temperature being measured. Measure the current will then be the same as to measure the temperature. Yet, the output from a transducer (voltage/current) is still analog; a device is needed to convert them in digital signals ( digitization ). Such a device is called an analog-to-digital converter (ADC), and this process is called analog-to-digital (A/D) conversion. Once the signal is digitized, it can be sent to the microcontroller for further processing. A2.1 The ADC0804 IC The ADC0804 IC is one of the most popular analog-to-digital converters. It is in the family of the ADC0800 series. It works with a power supply of +5V, and has a resolution (i.e. output) of 8 bits. Pin description of the ADC0804: Chip select ( CS ) Pin CS is used to activate the ADC0804 IC. The IC is activated and ready to use if a LOW signal is set to this pin. Read ( RD ) (Data output enable) Pin RD is also called output enable. It is an active-low output signal. It is used to get the converted data out of the ADC0804 IC. With CS =0, if a high-to-low pulse is applied to RD, the 8-bit digital is then output to the data pins D0-D7 for pick-up. CS RD WR CLK INTR V I + V I - AGND V REF /2 DGND V CC CLKR D0 D1 D2 D3 D4 D5 D6 D7 Pin diagram ADC0804 Laboratory Experiment 5 3

4 Write ( WR ) (Start conversion) WR is also called start conversion. It is an active-low input signal. It is used to start the ADC0804 IC for data conversion. With CS =0, if a low-to-high pulse is applied to pin WR, the ADC0804 IC will start converting the analog input value at pin V I + to an 8-bit digital number. Once data conversion is complete, pin INTR will be set to LOW. Interrupt ( INTR ) (End of conversion) INTR is also called end of conversion. It is an active-low output signal. It is usually high but once data conversion is complete, it set to LOW to indicate that the converted data is ready for pick-up. V CC V CC is the +5V power supply to the ADC0804 IC. It can be also used as a reference voltage (V REF ) if the pin V REF /2 is open. V REF /2 V REF /2 is an input used for reference voltage other than 0-5V range. But if V REF /2 is left open, the analog input voltage will be in the range of 0-5V. Data pins D0-D7 DB0-DB7 are the digital data output pins, with D7 as the most significant bit (MSB) and D0 as the least significant bit (LSB). They can only be accessed when both CS =0 and RD is LOW. A2.2 Digital output of the ADC804 IC In this Laboratory, the V REF /2 pin is left open, i.e. the analog input voltage (V IN ) is in the range 0-5V. Because the ADC0804 IC has a resolution of 8 bits, the range is divided into 2 8 =256 steps. Or, we can say that there are 255 quantization levels (0V inclusive). The step size, or the resolution of the ADC804 IC, is: step size V 2 = REF VREF = 255 The digital data value (D OUT ) of the ADC804 IC is: V D = IN OUT step size 4 Experiment 5 Laboratory

5 EEE3410 Microcontroller Applications e.g. : A 5V is set to the V REF pin of a ADC0804, find the digital value output from the ADC if it converts an analog input voltage of 3.5V. Solution: 5 step size = V = V ; D OUT = = 178 or 179 (depends on the accuracy of the ADC) A2.3. Control of ADC0804 IC to carry out analog-to-digital conversion Normally ADC0804 IC is interfaced with microcontroller to form a data acquisition system, circuit shown in fig. A2.1. The microcontroller will send out a fixed sequence of control signals to direct the ADC to complete the A/D conversion process and output the converted value. Fig. A2.2 shows a flowchart gives the steps for signal time issued by a 8051 to control the ADC0804IC Start Set CS to LOW V CC Input analog voltage V I+ V I- CLKR CLK V REF AGND DB0 DB1 DB2 DB3 DB4 DB5 DB6 DB7 INTR ADC0804 CS RD WR Input bits 8051 Microcontroller Output Control bits Set WR to LOW Next return WR to HIGH Then return CS to HIGH Does INTR change to LOW? Y Set CS to LOW Set RD to LOW N Fig. A2.1 Interface of ADC0804 to 8051 microcontroller Read the ready ADC Data by a 8051 input port Next return RD to HIGH Then return CS to HIGH Repea Fig. A2.2 Flowchart of timing control of ADC0804 Laboratory Experiment 5 5

6 CS WR D0 D7 Data out INTR Start conversion End conversion Read it Note: CS is set to low for both RD and WR pulses (Fig. A2.3 The read and write signal timing diagram for ADC804) Sequence of signal to control the ADC Set CS to LOW. (Select the ADC IC) 2. Send a low-to-high pulse to WR, so as to start data conversion. Return CS to High again to de-select the ADC 3. Keep monitoring INTR. If INTR is LOW, that means the conversion is finished. If INTR is still HIGH, keep polling until it becomes LOW. 4. Set CS to LOW to select the ADC 5. Send a high-to-low pulse to RD so that data read by 8051 input port can be done 6. Return RD to High again to indicate port reading completed 7. Return CS to High again to de-select the ADC 8. Repeat steps for another conversion 6 Experiment 5 Laboratory

7 EEE3410 Microcontroller Applications A3. ADC & 7-segment Display training board Figure A3.1 shows the circuit diagram of the ADC & 7-segment Display training board. Port 2, Port bit 3.6 and Port bit 3.2 are connected to the 8-bit output, pin WR and pin INTR of ADC0804 respectively. Port 0 is connected to a common-anode 7-segment display. 2-digit 7-segment numeric display is connected to the upper & lower nibbles of Port 1 via a two BCD to 7-segment decoders. Port bits 3.0, 3.1, 3.3 & are connected to 4 push buttons (S1 to S4) respectively. Laboratory Experiment 5 7

8 Part B: Procedures B1. Controlling a 7-segment numeric display A source program shown in table B1.1 controls the 7-segment display at Port 0 to show the digits 0-F in counting up manner. B1.1 Complete the lines in table B1.1. B2.2 Use the 8051 simulation software to check the correct execution of the program. B2.3 Use the HT C51 writer to write the HEX file of the program into a blank 89C51 chip. B2.4 Insert the 89C51 chip to the ADC & 7-segment Display training board and observe the result of execution. Table B1.1 Line Label Mnemonics Operands Remarks 1 ORG 00h 2 MOV R3, #0 ;reset the counter 3 MOV DPTR, #TABLE 4 MOV P0, # b ;display 0 5 ;subroutine to count from COUNT_UP: INC R3 ;increment the counter 7 CJNE R3, #16, CONT ;if R3 10, continue counting 8 MOV R3, #0 ;otherwise count again from 0 9 CONT: MOV A, R3 10 MOVC ;display the value of R3 in 11 MOV P0, A ;7-segment format 12 ACALL DELAY 13 AJMP COUNT_UP DELAY: MOV R5, #5 ;sets the delay time 16 LOOP1: MOV R6, # LOOP2: MOV R7, # LOOP3: DJNZ R7, LOOP3 ;inner loop 19 DJNZ R6, LOOP2 ;middle loop 20 DJNZ R5, LOOP1 ;outer loop 21 RET ;return to main program ;lookup table to convert from hex to a corresponding digit 24 TABLE: DB b, b ;0, 1 25 DB b, b ;2,3 26 DB b, b ;4, 5 27 DB b, b ;6, 7 28 DB b, b ;8, 9 29 DB b, b ;A, b 30 DB b, b ;C, d 31 DB b, b ;E, F 32 END 8 Experiment 5 Laboratory

9 B2. Controlling 7-segment numeric displays through BCD decoder/driver EEE3410 Microcontroller Applications A source program shown in table B2.1 is expected to control the 2-digit 7-segment display at Port 1 to show the values from 00 to 99 in counting up manner. But it cannot correctly display the values ONE after ONE in sequence. You are required to correct the program in table B2.1 to give the right result. B2.1 By using the 8051 simulation software, correct the program as required. B2.2 Write the HEX file of the program into a blank 89C51 chip. B2.3 Check the program by the ADC & 7-segment Display training board. Table B2.1 Line Label Mnemonics Operands Remarks 1 ORG 00h 2 MOV A, #0 ;reset the counter 3 4 COUNT_UP: MOV P1, A ;display the value of A 5 ACALL DELAY 6 INC A ; A = A CJNE A, #100, CONT ;if A 100, continue counting 8 MOV A, #0 ;otherwise count again from 0 9 CONT: AJMP COUNT_UP 10 ; 11 DELAY: MOV R5, #5 ;sets the delay time 12 LOOP1: MOV R6, # LOOP2: MOV R7, # LOOP3: DJNZ R7, LOOP3 ;inner loop 15 DJNZ R6, LOOP2 ;middle loop 16 DJNZ R5, LOOP1 ;outer loop 17 RET ;return to main program 18 END B3. Controlling ADC A source program is given in table B3.1 which controls an 8-bit ADC0804 IC repetitively to acquire analog voltage signals from a potentiometer, and convert the signals to 8-bit digital values and finally to output the values to the Port 2 of After the 8-bit digital value is inputted, the 8051 has to convert the 8-bit value to an equivalent decimal value and display it by the 2-digital 7-segment display. When you turn the potentiometer, the analog voltage input to the ADC will change and similarly, the digital values displayed will also change. Problem: it is found that the analog voltage input, measure by a DMM, to the ADC cannot be correctly displayed by the 2-digital 7-segment display. Laboratory Experiment 5 9

10 B2.1 By using the 8051 simulation software, correct the program as required. B2.2 Write the HEX file of the program into a blank 89C51 chip. B2.3 Check the program by the ADC & 7-segment Display training board. Table B3.1 Line Label Mnemonics Operands Remarks 1 ORG 00h 2 MOV P1, #0 ;Display 00 3 MOV P2, #0FFh ;set port 2 as input port 4 MOV P3, #0FFh ;set port 3 output to High 5 ; 6 STARTCON : CLR P3.6 ;Set port bit 3.6 to Low, 7 NOP ;start ADC conversion 8 SETB P3.6 ;reset port bit 3.6 to High 9 NOP 10 CHK_END: JB P3.2, CHK_END ;check for end of conversion 11 NOP 12 READ: MOV A, P2 ;input from ADC 13 ; 14 MOV P1, A ;output to port 1 15 ACALL DELAY 16 AJMP STARTCON ; 23 DELAY: MOV R5, #5 ;sets the delay time 24 LOOP1: MOV R6, # LOOP2: MOV R7, # LOOP3: DJNZ R7, LOOP3 ;inner loop 27 DJNZ R6, LOOP2 ;middle loop 28 DJNZ R5, LOOP1 ;outer loop 29 RET ;return to main program 30 END Note: As the pins of CS and RD are directly connected to the ground in the training board, they are always at LOW input. That means the ADC is always selected and ready for output. So the source program in the above table has not necessary to include any instructions for chip selection and read action of the ADC. 10 Experiment 5 Laboratory

11 EEE3410 Microcontroller Applications B2.4 After the program is corrected and executed by a 89C51 chip, complete the table below by first turning the potentiometer knob at the end of counter clockwise direction, record the voltage input to the ADC by a DMM and the value displayed by the 2-digit 7-segment display. Then slowly turn the knob in clockwise direction until at the end position. Record down the values displayed at any four intermediate positions. Compare with the voltage values measured by DMM. Position of knob Value indicated by the 2-digital 7-segment display Analog voltage inputted to the ADC (Volts) At Full counter clockwise V At Intermediate position 1 At Intermediate position 2 At Intermediate position 3 At Intermediate position 4 At Full clockwise Laboratory Experiment 5 11

12 Part C: Exercise C1. Assume that pin V ref /2 is connected to a reference voltage of 2V. Determine the following. (a) Step Size: (b) Maximum range for V in (c) D7 D0 value if V in = 1.2 V (d) V in if D7 D0 = (e) V in if D7 D0 = C2. What is the value for V ref /2 to set the ADC0804 has a step size of 8 mv. - End - 12 Experiment 5 Laboratory

Conversion Between Analog and Digital Signals

Conversion Between Analog and Digital Signals ELET 3156 DL - Laboratory #6 Conversion Between Analog and Digital Signals There is no pre-lab work required for this experiment. However, be sure to read through the assignment completely prior to starting

More information

Interfacing Analog to Digital Data Converters

Interfacing Analog to Digital Data Converters Converters In most of the cases, the PIO 8255 is used for interfacing the analog to digital converters with microprocessor. We have already studied 8255 interfacing with 8086 as an I/O port, in previous

More information

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter

NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter NTE2053 Integrated Circuit 8 Bit MPU Compatible A/D Converter Description: The NTE2053 is a CMOS 8 bit successive approximation Analog to Digital converter in a 20 Lead DIP type package which uses a differential

More information

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR

AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR AUTOMATIC NIGHT LAMP WITH MORNING ALARM USING MICROPROCESSOR INTRODUCTION This Project "Automatic Night Lamp with Morning Alarm" was developed using Microprocessor. It is the Heart of the system. The sensors

More information

4 Character 5x7 LED Matrix Display

4 Character 5x7 LED Matrix Display Mini project report on 4 Character 5x7 LED Matrix Display Submitted by Agarwal Vikas, MTech II, CEDT K.Sreenivasulu M.E (Micro) II, CEDT CENTRE FOR ELECTRONICS DESIGN AND TECHNOLOGY INDIAN INSTITUTE OF

More information

24-Bit Analog-to-Digital Converter (ADC) for Weigh Scales FEATURES S8550 VFB. Analog Supply Regulator. Input MUX. 24-bit Σ ADC. PGA Gain = 32, 64, 128

24-Bit Analog-to-Digital Converter (ADC) for Weigh Scales FEATURES S8550 VFB. Analog Supply Regulator. Input MUX. 24-bit Σ ADC. PGA Gain = 32, 64, 128 24-Bit Analog-to-Digital Converter (ADC) for Weigh Scales DESCRIPTION Based on Avia Semiconductor s patented technology, HX711 is a precision 24-bit analogto-digital converter (ADC) designed for weigh

More information

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV

DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV DEPARTMENT OF COMPUTER SCIENCE & ENGINEERING Question Bank Subject Name: EC6504 - Microprocessor & Microcontroller Year/Sem : II/IV UNIT I THE 8086 MICROPROCESSOR 1. What is the purpose of segment registers

More information

Monitoring of Intravenous Drip Rate

Monitoring of Intravenous Drip Rate Monitoring of Intravenous Drip Rate Vidyadhar V. Kamble, Prem C. Pandey, Chandrashekar P. Gadgil, and Dinesh S. Choudhary Abstract A drip rate meter, for monitoring intravenous infusion, is developed using

More information

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide

Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Data Acquisition Module with I2C interface «I2C-FLEXEL» User s Guide Sensors LCD Real Time Clock/ Calendar DC Motors Buzzer LED dimming Relay control I2C-FLEXEL PS2 Keyboards Servo Motors IR Remote Control

More information

Decimal Number (base 10) Binary Number (base 2)

Decimal Number (base 10) Binary Number (base 2) LECTURE 5. BINARY COUNTER Before starting with counters there is some vital information that needs to be understood. The most important is the fact that since the outputs of a digital chip can only be

More information

CHAPTER 11: Flip Flops

CHAPTER 11: Flip Flops CHAPTER 11: Flip Flops In this chapter, you will be building the part of the circuit that controls the command sequencing. The required circuit must operate the counter and the memory chip. When the teach

More information

PART B QUESTIONS AND ANSWERS UNIT I

PART B QUESTIONS AND ANSWERS UNIT I PART B QUESTIONS AND ANSWERS UNIT I 1. Explain the architecture of 8085 microprocessor? Logic pin out of 8085 microprocessor Address bus: unidirectional bus, used as high order bus Data bus: bi-directional

More information

Digital to Analog and Analog to Digital Conversion

Digital to Analog and Analog to Digital Conversion Real world (lab) is Computer (binary) is digital Digital to Analog and Analog to Digital Conversion V t V t D/A or DAC and A/D or ADC D/A Conversion Computer DAC A/D Conversion Computer DAC Digital to

More information

Interfacing To Alphanumeric Displays

Interfacing To Alphanumeric Displays Interfacing To Alphanumeric Displays To give directions or data values to users, many microprocessor-controlled instruments and machines need to display letters of the alphabet and numbers. In systems

More information

Analog-to-Digital Converters

Analog-to-Digital Converters Analog-to-Digital Converters In this presentation we will look at the Analog-to-Digital Converter Peripherals with Microchip s midrange PICmicro Microcontrollers series. 1 Analog-to-Digital Converters

More information

PROGRAMMABLE LOGIC CONTROLLERS Unit code: A/601/1625 QCF level: 4 Credit value: 15 TUTORIAL OUTCOME 2 Part 1

PROGRAMMABLE LOGIC CONTROLLERS Unit code: A/601/1625 QCF level: 4 Credit value: 15 TUTORIAL OUTCOME 2 Part 1 UNIT 22: PROGRAMMABLE LOGIC CONTROLLERS Unit code: A/601/1625 QCF level: 4 Credit value: 15 TUTORIAL OUTCOME 2 Part 1 This work covers part of outcome 2 of the Edexcel standard module. The material is

More information

Microcontroller to Sensor Interfacing Techniques

Microcontroller to Sensor Interfacing Techniques to Sensor Interfacing Techniques Document Revision: 1.01 Date: 3rd February, 2006 16301 Blue Ridge Road, Missouri City, Texas 77489 Telephone: 1-713-283-9970 Fax: 1-281-416-2806 E-mail: info@bipom.com

More information

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA

8-Bit Flash Microcontroller for Smart Cards. AT89SCXXXXA Summary. Features. Description. Complete datasheet available under NDA Features Compatible with MCS-51 products On-chip Flash Program Memory Endurance: 1,000 Write/Erase Cycles On-chip EEPROM Data Memory Endurance: 100,000 Write/Erase Cycles 512 x 8-bit RAM ISO 7816 I/O Port

More information

Lab 17: Building a 4-Digit 7-Segment LED Decoder

Lab 17: Building a 4-Digit 7-Segment LED Decoder Phys2303 L.A. Bumm [Nexys 1.1.2] Lab 17 (p1) Lab 17: Building a 4-Digit 7-Segment LED Decoder In this lab your will make 4 test circuits, the 4-digit 7-segment decoder, and demonstration circuit using

More information

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1

MICROPROCESSOR. Exclusive for IACE Students www.iace.co.in iacehyd.blogspot.in Ph: 9700077455/422 Page 1 MICROPROCESSOR A microprocessor incorporates the functions of a computer s central processing unit (CPU) on a single Integrated (IC), or at most a few integrated circuit. It is a multipurpose, programmable

More information

8051 Serial Port. Crystal TXD. I/O Device RXD. Embedded Systems 1 5-1 8051 Peripherals

8051 Serial Port. Crystal TXD. I/O Device RXD. Embedded Systems 1 5-1 8051 Peripherals 8051 Serial Port The 8051 contains a UART Universal Asynchronous Receiver Transmitter The serial port is full-duplex It can transmit and receive simultaneously 2 Port 3 pins are used to provide the serial

More information

A Digital Timer Implementation using 7 Segment Displays

A Digital Timer Implementation using 7 Segment Displays A Digital Timer Implementation using 7 Segment Displays Group Members: Tiffany Sham u2548168 Michael Couchman u4111670 Simon Oseineks u2566139 Caitlyn Young u4233209 Subject: ENGN3227 - Analogue Electronics

More information

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section

PACKAGE OUTLINE DALLAS DS2434 DS2434 GND. PR 35 PACKAGE See Mech. Drawings Section PRELIMINARY DS2434 Battery Identification Chip FEATURES Provides unique ID number to battery packs PACKAGE OUTLINE Eliminates thermistors by sensing battery temperature on chip DALLAS DS2434 1 2 3 256

More information

Digital To Analog Converter with Sine Wave Output

Digital To Analog Converter with Sine Wave Output Digital To Analog Converter with Sine Wave Output Overview In this Lab we will build a resistive ladder network and use the BASIC Stamp to generate the digital data for the D/A conversions. PBASIC will

More information

ADC0808/ADC0809 8-Bit µp Compatible A/D Converters with 8-Channel Multiplexer

ADC0808/ADC0809 8-Bit µp Compatible A/D Converters with 8-Channel Multiplexer ADC0808/ADC0809 8-Bit µp Compatible A/D Converters with 8-Channel Multiplexer General Description The ADC0808, ADC0809 data acquisition component is a monolithic CMOS device with an 8-bit analog-to-digital

More information

8051 hardware summary

8051 hardware summary 8051 hardware summary 8051 block diagram 8051 pinouts + 5V ports port 0 port 1 port 2 port 3 : dual-purpose (general-purpose, external memory address and data) : dedicated (interfacing to external devices)

More information

PIC Application Notes

PIC Application Notes 4: Reading Rotary Encoders PIC Application Notes Reading Rotary Encoders Introduction. This application note covers the use of incremental rotary encoders with PIC microcontrollers. It presents an example

More information

If an occupancy of room is zero, i.e. room is empty then light source will be switched off automatically

If an occupancy of room is zero, i.e. room is empty then light source will be switched off automatically EE389 Electronic Design Lab Project Report, EE Dept, IIT Bombay, Nov 2009 Fully-automated control of lighting and security system of a Room Group No: D2 Bharat Bhushan (06d04026) Sravan

More information

PCAN-MicroMod Universal I/O Module with CAN Interface. User Manual. Document version 2.1.0 (2014-01-16)

PCAN-MicroMod Universal I/O Module with CAN Interface. User Manual. Document version 2.1.0 (2014-01-16) PCAN-MicroMod Universal I/O Module with CAN Interface User Manual Document version 2.1.0 (2014-01-16) Products taken into account Product Name Part number Model PCAN-MicroMod IPEH-002080 with firmware

More information

Hexadecimal and Numeric Indicators. Technical Data 5082-7300 5082-7302 5082-7304 5082-7340

Hexadecimal and Numeric Indicators. Technical Data 5082-7300 5082-7302 5082-7304 5082-7340 H Hexadecimal and Numeric Indicators Technical Data 5082-7300 5082-7302 5082-7304 5082-7340 Features Numeric 5082-7300/-7302 0-9, Test State, Minus Sign, Blank States Decimal Point 7300 Right Hand D.P.

More information

EVAL-UFDC-1/UFDC-1M-16

EVAL-UFDC-1/UFDC-1M-16 Evaluation Board for Universal Frequency-to- Digital Converters UFDC-1 and UFDC-1M-16 EVAL-UFDC-1/UFDC-1M-16 FEATURES Full-Featured Evaluation Board for the Universal Frequency-to-Digital Converters UFDC-1

More information

Measuring Resistance Using Digital I/O

Measuring Resistance Using Digital I/O Measuring Resistance Using Digital I/O Using a Microcontroller for Measuring Resistance Without using an ADC. Copyright 2011 John Main http://www.best-microcontroller-projects.com Page 1 of 10 Table of

More information

Controlling a Dot Matrix LED Display with a Microcontroller

Controlling a Dot Matrix LED Display with a Microcontroller Controlling a Dot Matrix LED Display with a Microcontroller By Matt Stabile and programming will be explained in general terms as well to allow for adaptation to any comparable microcontroller or LED matrix.

More information

MACHINE ARCHITECTURE & LANGUAGE

MACHINE ARCHITECTURE & LANGUAGE in the name of God the compassionate, the merciful notes on MACHINE ARCHITECTURE & LANGUAGE compiled by Jumong Chap. 9 Microprocessor Fundamentals A system designer should consider a microprocessor-based

More information

The components. E3: Digital electronics. Goals:

The components. E3: Digital electronics. Goals: E3: Digital electronics Goals: Basic understanding of logic circuits. Become familiar with the most common digital components and their use. Equipment: 1 st. LED bridge 1 st. 7-segment display. 2 st. IC

More information

AN108 IMPLEMENTING A REALTIME CLOCK. Relevant Devices. Introduction. Key Points. Overview

AN108 IMPLEMENTING A REALTIME CLOCK. Relevant Devices. Introduction. Key Points. Overview IMPLEMENTING A REALTIME CLOCK Relevant Devices This application note applies to the following devices: C8051F000, C8051F001, C8051F002, C8051F005, C8051F006, C8051F007, C8051F010, C8051F011, and C8051F012.

More information

Lab Experiment 1: The LPC 2148 Education Board

Lab Experiment 1: The LPC 2148 Education Board Lab Experiment 1: The LPC 2148 Education Board 1 Introduction The aim of this course ECE 425L is to help you understand and utilize the functionalities of ARM7TDMI LPC2148 microcontroller. To do that,

More information

Table 1 Comparison of DC, Uni-Polar and Bi-polar Stepper Motors

Table 1 Comparison of DC, Uni-Polar and Bi-polar Stepper Motors Electronics Exercise 3: Uni-Polar Stepper Motor Controller / Driver Mechatronics Instructional Laboratory Woodruff School of Mechanical Engineering Georgia Institute of Technology Lab Director: I. Charles

More information

Microcontroller Based Infrared Tracking Device In 2D Motion

Microcontroller Based Infrared Tracking Device In 2D Motion International Journal of Scientific & Engineering Research Volume 3, Issue 9, September-2012 1 Microcontroller Based Infrared Tracking Device In 2D Motion ABSTRACT Mrinmoy Sengupta (B.Tech) The objective

More information

NETWORK ENABLED EQUIPMENT MONITOR

NETWORK ENABLED EQUIPMENT MONITOR NETWORK ENABLED EQUIPMENT MONITOR Remotely Monitor Sensors over the Internet Connect Sensors to the Web to Remotely Monitor Equipment, Processes or Other Applications A Complete, Easy to Deploy, Stand-Alone

More information

Flash Microcontroller. Architectural Overview. Features. Block Diagram. Figure 1. Block Diagram of the AT89C core

Flash Microcontroller. Architectural Overview. Features. Block Diagram. Figure 1. Block Diagram of the AT89C core Features 8-Bit CPU Optimized for Control Applications Extensive Boolean Processing Capabilities (Single-Bit Logic) On-Chip Flash Program Memory On-Chip Data RAM Bidirectional and Individually Addressable

More information

- 35mA Standby, 60-100mA Speaking. - 30 pre-defined phrases with up to 1925 total characters.

- 35mA Standby, 60-100mA Speaking. - 30 pre-defined phrases with up to 1925 total characters. Contents: 1) SPE030 speech synthesizer module 2) Programming adapter kit (pcb, 2 connectors, battery clip) Also required (for programming) : 4.5V battery pack AXE026 PICAXE download cable Specification:

More information

Ultrasound Distance Measurement

Ultrasound Distance Measurement Final Project Report E3390 Electronic Circuits Design Lab Ultrasound Distance Measurement Yiting Feng Izel Niyage Asif Quyyum Submitted in partial fulfillment of the requirements for the Bachelor of Science

More information

8254 PROGRAMMABLE INTERVAL TIMER

8254 PROGRAMMABLE INTERVAL TIMER PROGRAMMABLE INTERVAL TIMER Y Y Y Compatible with All Intel and Most Other Microprocessors Handles Inputs from DC to 10 MHz 8 MHz 8254 10 MHz 8254-2 Status Read-Back Command Y Y Y Y Y Six Programmable

More information

3-Digit Counter and Display

3-Digit Counter and Display ECE 2B Winter 2007 Lab #7 7 3-Digit Counter and Display This final lab brings together much of what we have done in our lab experiments this quarter to construct a simple tachometer circuit for measuring

More information

Microcontroller Code Example Explanation and Words of Wisdom For Senior Design

Microcontroller Code Example Explanation and Words of Wisdom For Senior Design Microcontroller Code Example Explanation and Words of Wisdom For Senior Design For use with the following equipment: PIC16F877 QikStart Development Board ICD2 Debugger MPLAB Environment examplemain.c and

More information

STEPPER MOTOR SPEED AND POSITION CONTROL

STEPPER MOTOR SPEED AND POSITION CONTROL STEPPER MOTOR SPEED AND POSITION CONTROL Group 8: Subash Anigandla Hemanth Rachakonda Bala Subramanyam Yannam Sri Divya Krovvidi Instructor: Dr. Jens - Peter Kaps ECE 511 Microprocessors Fall Semester

More information

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill

Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Digital Systems Based on Principles and Applications of Electrical Engineering/Rizzoni (McGraw Hill Objectives: Analyze the operation of sequential logic circuits. Understand the operation of digital counters.

More information

CoE3DJ4 Digital Systems Design. Chapter 4: Timer operation

CoE3DJ4 Digital Systems Design. Chapter 4: Timer operation CoE3DJ4 Digital Systems Design Chapter 4: Timer operation Timer There are two 16-bit timers each with four modes of operation Timers are used for (a) interval timing, (b) event counting or (c) baud rate

More information

Touch Screen for Pictiva OLED display. Application Note. Introduction

Touch Screen for Pictiva OLED display. Application Note. Introduction Touch Screen for Pictiva OLED display Application Note AN0 Introduction A touch screen interface can be added to Pictiva.7 inch or similar OLED displays to enhance its operation. This application note

More information

Measuring Temperature withthermistors a Tutorial David Potter

Measuring Temperature withthermistors a Tutorial David Potter NATIONAL INSTRUMENTS The Software is the Instrument Application Note 065 Measuring Temperature withthermistors a Tutorial David Potter Introduction Thermistors are thermally sensitive resistors used in

More information

Counters and Decoders

Counters and Decoders Physics 3330 Experiment #10 Fall 1999 Purpose Counters and Decoders In this experiment, you will design and construct a 4-bit ripple-through decade counter with a decimal read-out display. Such a counter

More information

LADDER LOGIC/ FLOWCHART PROGRAMMING DIFFERENCES AND EXAMPLES

LADDER LOGIC/ FLOWCHART PROGRAMMING DIFFERENCES AND EXAMPLES page 1/10 This document is designed as a quick-start primer to assist industrial automation programmers who are familiar with PLCs and Relay Ladder Logic programming to better understand the corresponding

More information

8085 MICROPROCESSOR PROGRAMS

8085 MICROPROCESSOR PROGRAMS 8085 MICROPROCESSOR PROGRAMS 1 ADDITION OF TWO 8 BIT NUMBERS AIM: To perform addition of two 8 bit numbers using 8085. ALGORITHM: 1) Start the program by loading the first data into Accumulator. 2) Move

More information

Part 1. MAX 525 12BIT DAC with an Arduino Board. MIDI to Voltage Converter Part1

Part 1. MAX 525 12BIT DAC with an Arduino Board. MIDI to Voltage Converter Part1 MIDI to Voltage Converter Part 1 MAX 525 12BIT DAC with an Arduino Board 1 What you need: 2 What you need : Arduino Board (Arduino Mega 2560) 3 What you need : Arduino Board (Arduino Mega 2560) Digital

More information

2011, The McGraw-Hill Companies, Inc. Chapter 3

2011, The McGraw-Hill Companies, Inc. Chapter 3 Chapter 3 3.1 Decimal System The radix or base of a number system determines the total number of different symbols or digits used by that system. The decimal system has a base of 10 with the digits 0 through

More information

How To Use An Ams 5812 Pressure Sensor With A Usb Starter Kit

How To Use An Ams 5812 Pressure Sensor With A Usb Starter Kit User Guide USB Starter Kit AMS 5812 Phone:+49 (0)6131/91 0730-0 Fax: +49 (0)6131/91 073-30 Internet: E Mail: info@analogmicro.de Analog Microelectronics GmbH An der Fahrt 13, D 55124 Mainz May 2012 - Rev.

More information

8-Bit Microcontroller with Flash. Application Note. Using a Personal Computer to Program the AT89C51/C52/LV51/LV52/C1051/C2051

8-Bit Microcontroller with Flash. Application Note. Using a Personal Computer to Program the AT89C51/C52/LV51/LV52/C1051/C2051 Using a Personal Computer to Program the ATC/C/LV/LV/C0/C0 Introduction This application note describes a personal computer-based programmer for the ATC/C/LV/LV/C0/C0 Flash-based s. The programmer supports

More information

Asynchronous counters, except for the first block, work independently from a system clock.

Asynchronous counters, except for the first block, work independently from a system clock. Counters Some digital circuits are designed for the purpose of counting and this is when counters become useful. Counters are made with flip-flops, they can be asynchronous or synchronous and they can

More information

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies

ETEC 2301 Programmable Logic Devices. Chapter 10 Counters. Shawnee State University Department of Industrial and Engineering Technologies ETEC 2301 Programmable Logic Devices Chapter 10 Counters Shawnee State University Department of Industrial and Engineering Technologies Copyright 2007 by Janna B. Gallaher Asynchronous Counter Operation

More information

Copyright Peter R. Rony 2009. All rights reserved.

Copyright Peter R. Rony 2009. All rights reserved. Experiment No. 1. THE DIGI DESIGNER Experiment 1-1. Socket Connections on the Digi Designer Experiment No. 2. LOGIC LEVELS AND THE 7400 QUADRUPLE 2-INPUT POSITIVE NAND GATE Experiment 2-1. Truth Table

More information

LABORATORY MANUAL EE0310 MICROPROCESSOR & MICROCONTROLLER LAB

LABORATORY MANUAL EE0310 MICROPROCESSOR & MICROCONTROLLER LAB LABORATORY MANUAL EE0310 MICROPROCESSOR & MICROCONTROLLER LAB DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING FACULTY OF ENGINEERING & TECHNOLOGY SRM UNIVERSITY, Kattankulathur 603 203 1 LIST OF EXEPRIMENTS

More information

1 Coffee cooling : Part B : automated data acquisition

1 Coffee cooling : Part B : automated data acquisition 1 COFFEE COOLING : PART B : AUTOMATED DATA ACQUISITION 1 October 23, 2015 1 Coffee cooling : Part B : automated data acquisition Experiment designed by Peter Crew, Navot Arad and Dr Alston J. Misquitta

More information

DS1821 Programmable Digital Thermostat and Thermometer

DS1821 Programmable Digital Thermostat and Thermometer ma www.maxim-ic.com FEATURES Requires no external components Unique 1-Wire interface requires only one port pin for communication Operates over a -55 C to +125 C (67 F to +257 F) temperature range Functions

More information

Features DISPLAY DECODING INPUT INTERFACING

Features DISPLAY DECODING INPUT INTERFACING Data Sheet FN3158.8 4-Digit, LCD Display Driver The device is a non-multiplexed four-digit seven-segment CMOS LCD display decoder-driver. This device is configured to drive conventional LCD displays by

More information

ezsystem elab16m Project 1F: Alarm System (Full Project description)

ezsystem elab16m Project 1F: Alarm System (Full Project description) ezsystem elab16m Project 1F: Alarm System (Full Project description) ezsystem The aim of ezsystem is to enable Creativity and Innovation at an early age in a Problem Based Learning (PBL) approach. ezsystem

More information

FR FAMILY MB91460 SPI - DAISY CHAIN COMMUNICATION 32-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Microelectronics Europe Application Note

FR FAMILY MB91460 SPI - DAISY CHAIN COMMUNICATION 32-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Microelectronics Europe Application Note Fujitsu Microelectronics Europe Application Note MCU-AN-300101-E-V10 FR FAMILY 32-BIT MICROCONTROLLER MB91460 SPI - DAISY CHAIN COMMUNICATION APPLICATION NOTE Revision History Revision History Date 2008-07-13

More information

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa

Experiment # 9. Clock generator circuits & Counters. Eng. Waleed Y. Mousa Experiment # 9 Clock generator circuits & Counters Eng. Waleed Y. Mousa 1. Objectives: 1. Understanding the principles and construction of Clock generator. 2. To be familiar with clock pulse generation

More information

12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER

12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER For most current data sheet and other product information, visit www.burr-brown.com 12-Bit, 4-Channel Parallel Output Sampling ANALOG-TO-DIGITAL CONVERTER FEATURES SINGLE SUPPLY: 2.7V to 5V 4-CHANNEL INPUT

More information

DS1621 Digital Thermometer and Thermostat

DS1621 Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +125 C in 0.5 C increments. Fahrenheit equivalent is -67 F to 257 F in 0.9 F increments

More information

Advanced LED Controller (LED Chaser)

Advanced LED Controller (LED Chaser) Advanced LED Controller (LED Chaser) Introduction. Advanced LED controller (also known as LED Chaser) is microcontroller based circuit designed to produce various visual LED light effects by controlling

More information

Loop Calibration and Maintenance

Loop Calibration and Maintenance Loop Calibration and Maintenance Application Note Introduction Process instrumentation requires periodic calibration and maintenance to ensure that it is operating correctly. This application note contains

More information

Digital Sampling Oscilloscope

Digital Sampling Oscilloscope Digital Sampling Oscilloscope Mary Anne Peters & Joseph Tylka Department of Mechanical and Aerospace Engineering Princeton University, Princeton, NJ 8, USA INTRODUCTION This paper summarizes the construction

More information

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver

1. Learn about the 555 timer integrated circuit and applications 2. Apply the 555 timer to build an infrared (IR) transmitter and receiver Electronics Exercise 2: The 555 Timer and its Applications Mechatronics Instructional Laboratory Woodruff School of Mechanical Engineering Georgia Institute of Technology Lab Director: I. Charles Ume,

More information

SHENZHEN JINGHUA DISPLAYS CO.,LTD.

SHENZHEN JINGHUA DISPLAYS CO.,LTD. SPECIFICATION Character Type Dot Matrix LCD Module SHENZHEN JINGHUA DISPLAYS CO.,LTD. ! GENERAL SPECIFICATION Interface with 4-bit or 8-bit MPU(directly connected to M6800 serial MPU) Display Specification

More information

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION

DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION DIGITAL-TO-ANALOGUE AND ANALOGUE-TO-DIGITAL CONVERSION Introduction The outputs from sensors and communications receivers are analogue signals that have continuously varying amplitudes. In many systems

More information

Accurate Measurement of the Mains Electricity Frequency

Accurate Measurement of the Mains Electricity Frequency Accurate Measurement of the Mains Electricity Frequency Dogan Ibrahim Near East University, Faculty of Engineering, Lefkosa, TRNC dogan@neu.edu.tr Abstract The frequency of the mains electricity supply

More information

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs

1.1 The 7493 consists of 4 flip-flops with J-K inputs unconnected. In a TTL chip, unconnected inputs CALIFORNIA STATE UNIVERSITY LOS ANGELES Department of Electrical and Computer Engineering EE-246 Digital Logic Lab EXPERIMENT 1 COUNTERS AND WAVEFORMS Text: Mano, Digital Design, 3rd & 4th Editions, Sec.

More information

Section 3. Sensor to ADC Design Example

Section 3. Sensor to ADC Design Example Section 3 Sensor to ADC Design Example 3-1 This section describes the design of a sensor to ADC system. The sensor measures temperature, and the measurement is interfaced into an ADC selected by the systems

More information

PLL frequency synthesizer

PLL frequency synthesizer ANALOG & TELECOMMUNICATION ELECTRONICS LABORATORY EXERCISE 4 Lab 4: PLL frequency synthesizer 1.1 Goal The goals of this lab exercise are: - Verify the behavior of a and of a complete PLL - Find capture

More information

INSTRUMENTATION AND CONTROL TUTORIAL 3 SIGNAL PROCESSORS AND RECEIVERS

INSTRUMENTATION AND CONTROL TUTORIAL 3 SIGNAL PROCESSORS AND RECEIVERS INSTRUMENTATION AND CONTROL TUTORIAL 3 SIGNAL PROCESSORS AND RECEIVERS This tutorial provides an overview of signal processing and conditioning for use in instrumentation and automatic control systems.

More information

TDA7448 6 CHANNEL VOLUME CONTROLLER 1 FEATURES 2 DESCRIPTION. Figure 1. Package

TDA7448 6 CHANNEL VOLUME CONTROLLER 1 FEATURES 2 DESCRIPTION. Figure 1. Package 6 CHANNEL CONTROLLER FEATURES 6 CHANNEL INPUTS 6 CHANNEL OUTPUTS ATTENUATION RANGE OF 0 TO -79dB CONTROL IN.0dB STEPS 6 CHANNEL INDEPENDENT CONTROL ALL FUNCTION ARE PROGRAMMABLE VIA SERIAL BUS DESCRIPTION

More information

Application Report. 1 Introduction. 2 Resolution of an A-D Converter. 2.1 Signal-to-Noise Ratio (SNR) Harman Grewal... ABSTRACT

Application Report. 1 Introduction. 2 Resolution of an A-D Converter. 2.1 Signal-to-Noise Ratio (SNR) Harman Grewal... ABSTRACT Application Report SLAA323 JULY 2006 Oversampling the ADC12 for Higher Resolution Harman Grewal... ABSTRACT This application report describes the theory of oversampling to achieve resolutions greater than

More information

Trigno/Vicon System Integration

Trigno/Vicon System Integration Delsys and Vicon Analog Integration Motion capture systems will often have the ability to sample analog data channels as a convenient means for synchronizing external data streams with motion capture data.

More information

M25P05-A. 512-Kbit, serial flash memory, 50 MHz SPI bus interface. Features

M25P05-A. 512-Kbit, serial flash memory, 50 MHz SPI bus interface. Features 512-Kbit, serial flash memory, 50 MHz SPI bus interface Features 512 Kbits of flash memory Page program (up to 256 bytes) in 1.4 ms (typical) Sector erase (256 Kbits) in 0.65 s (typical) Bulk erase (512

More information

(Refer Slide Time: 00:01:16 min)

(Refer Slide Time: 00:01:16 min) Digital Computer Organization Prof. P. K. Biswas Department of Electronic & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture No. # 04 CPU Design: Tirning & Control

More information

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware A+ Guide to Managing and Maintaining Your PC, 7e Chapter 1 Introducing Hardware Objectives Learn that a computer requires both hardware and software to work Learn about the many different hardware components

More information

DS1621 Digital Thermometer and Thermostat

DS1621 Digital Thermometer and Thermostat Digital Thermometer and Thermostat www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from 55 C to +125 C in 0.5 C increments. Fahrenheit equivalent

More information

Introduction to Microcontrollers

Introduction to Microcontrollers Introduction to Microcontrollers Motorola M68HC11 Specs Assembly Programming Language BUFFALO Topics of Discussion Microcontrollers M68HC11 Package & Pinouts Accumulators Index Registers Special Registers

More information

SAMPLE CHAPTERS UNESCO EOLSS DIGITAL INSTRUMENTS. García J. and García D.F. University of Oviedo, Spain

SAMPLE CHAPTERS UNESCO EOLSS DIGITAL INSTRUMENTS. García J. and García D.F. University of Oviedo, Spain DIGITAL INSTRUMENTS García J. and García D.F. University of Oviedo, Spain Keywords: analog-to-digital conversion, digital-to-analog conversion, data-acquisition systems, signal acquisition, signal conditioning,

More information

A Practical Approach to Education of Embedded Systems Engineering

A Practical Approach to Education of Embedded Systems Engineering A Practical Approach to Education of Embedded Systems Engineering Özgür Yürür Department of Electrical Engineering University of South Florida Tampa, Florida, 33620 oyurur@mail.usf.edu Wilfrido Moreno

More information

Android based Alcohol detection system using Bluetooth technology

Android based Alcohol detection system using Bluetooth technology For more Project details visit: http://www.projectsof8051.com/android-based-alcohol-detection-system-usingbluetooth-technology/ Code 1435 Project Title Android based Alcohol detection system using Bluetooth

More information

USB-AD14f Data Acquisition System (USB)

USB-AD14f Data Acquisition System (USB) Data Acquisition System (USB) Measurement & Control. Super-Low Priced. Record and output signals with the USB-AD14f. The USB data acquisition system accomodated in a stable aluminum housing is ideal for

More information

Chapter 6: From Digital-to-Analog and Back Again

Chapter 6: From Digital-to-Analog and Back Again Chapter 6: From Digital-to-Analog and Back Again Overview Often the information you want to capture in an experiment originates in the laboratory as an analog voltage or a current. Sometimes you want to

More information

TIL311 HEXADECIMAL DISPLAY WITH LOGIC

TIL311 HEXADECIMAL DISPLAY WITH LOGIC SOLID-STATE HEXADECIMAL DISPLAY WITH INTEGRAL TTL CIRCUIT TO ACCEPT, STORE, AND DISPLAY 4-BIT BINARY DATA 0.300-Inch (7,62-mm) Character Height Internal TTL MSI Chip With Latch, Decoder, High Brightness

More information

Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs

Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs Develop a Dallas 1-Wire Master Using the Z8F1680 Series of MCUs AN033101-0412 Abstract This describes how to interface the Dallas 1-Wire bus with Zilog s Z8F1680 Series of MCUs as master devices. The Z8F0880,

More information

Antenna Rotator System

Antenna Rotator System Antenna Rotator System RCI-USB Reference Manual September/2011 Rev 1.3c Introduction Thank you for purchasing the ARS RCI-USB Interface. Presently, the ARS System provides the most powerful highest performance

More information

Digital Fundamentals. Lab 8 Asynchronous Counter Applications

Digital Fundamentals. Lab 8 Asynchronous Counter Applications Richland College Engineering Technology Rev. 0 B. Donham Rev. 1 (7/2003). Horne Rev. 2 (1/2008). Bradbury Digital Fundamentals CETT 1425 Lab 8 Asynchronous Counter Applications Name: Date: Objectives:

More information

FEATURES DESCRIPTION. PT6321 Fluorescent Display Tube Controller Driver

FEATURES DESCRIPTION. PT6321 Fluorescent Display Tube Controller Driver Fluorescent Display Tube Controller Driver DESCRIPTION The PT6321 is a dot matrix fluorescent display tube controller driver IC which displays characters, numerics and symbols. Dot matrix fluorescent display

More information