Comparison of immersion lithography from projection and interferometric exposure tools

Size: px
Start display at page:

Download "Comparison of immersion lithography from projection and interferometric exposure tools"

Transcription

1 Rochester Institute of Technology RIT Scholar Works Presentations and other scholarship 2006 Comparison of immersion lithography from projection and interferometric exposure tools Stewart Robertson Joanne Leonard Bruce Smith Anatoly Bourov Follow this and additional works at: Recommended Citation Robertson, Stewart; Leonard, Joanne; Smith, Bruce; and Bourov, Anatoly, "Comparison of immersion lithography from projection and interferometric exposure tools" (2006). Accessed from This Conference Proceeding is brought to you for free and open access by RIT Scholar Works. It has been accepted for inclusion in Presentations and other scholarship by an authorized administrator of RIT Scholar Works. For more information, please contact

2 Comparison of immersion lithography from projection and interferometric exposure tools Stewart A. Robertson, Joanne M. Leonard Rohm and Haas Electronic Materials, Marlborough, MA Bruce W. Smith and Anatoly Bourov Amphibian Systems, Rochester, NY ABSTRACT In this work, an Amphibian XIS interference mini-stepper is used to synthesize the aerial image of 90nm dense line/space pattern produced by an ASML TWINSCAN /1150i immersion scanner, using a second single beam exposure to demodulate the first 100% modulated interference exposure. The experimental data from the scanner and the demodulated interference exposure have near identical exposure latitude and LER (line edge roughness). Whilst the synthetic defocus data also shows a good degree of correlation with the projection data, the level of agreement is a little lower. Overall agreement is good, suggesting that the use of the synthetic aerial image approach is a useful screening tool for photoresists prior to testing on full field scanner system. This technique can be used to predict the performance of future projection tools, allowing cycles of learning in resist development prior to scanner availability. Keywords: Interference lithography, aerial image synthesis, immersion lithography 1. INTRODUCTION Although many immersion projection scanners are currently being delivered to IC manufacturers for research and development activities, these tools are still relatively rare and very expensive. Many companies have elected to use immersion interferometric exposure tools for early research work. These have several advantages over the full field projection tools: i) they are considerably cheaper, ii) they can achieve higher numerical aperture and resolution, iii) the impact of lens damage through either leaching or experimentation with novel immersion fluid, is low. Despite these advantages, interferometric lithography has two down-sides, i) only dense pitches can be printed, ii) the aerial image quality is very high. The two beam interference of a coherent light source gives very strong modulation with huge depth of focus, which is practically impossible to achieve in a projection system of any numerical aperture. Cropanese et al. 1,2 has shown that an interferometer can synthesize the aerial image delivered by a projection optics tool, if the two-beam exposure is coupled to a second unmodulated single beam exposure. The Amphibian XIS micro-stepper 3 is able to modulate aerial images in this Optical Microlithography XIX, edited by Donis G. Flagello, Proc. of SPIE Vol N, (2006) X/06/$15 doi: / Proc. of SPIE Vol N-1

3 manner through the use of a beam blocker in one arm of its interferometer. The purpose of this work was to evaluate whether such a synthesized exposure can be utilized to effectively evaluate the performance a photoresist will exhibit in a projection scanner system. Results from an ASML TWINSCAN /1150i immersion scanner are compared to data generated on the Amphibian XIS. 2. AERIAL IMAGE SYNTHESIS Interferometric lithography utilizes the interference between two mutually coherent light beams at the wafer plane to produce a high contrast sinusoidal intensity pattern that creates a periodic array of lines and spaces in the resist layer. The focus range where this high contrast image is maintained is very large (in the order of millimeters) and is limited only by deviations in the path lengths of the two beams resulting from factors such as laser coherence length and the angle between the beams, beam uniformity etc. Cropanese et al. 1,2 described how controlled demodulation of the interferometer image can be achieved through an intensity imbalance between the two beams. The simplest way of achieving this imbalance is to use a double exposure technique where the substrate receives a fully modulated two beam exposure followed by a second, one beam, unmodulated exposure. In order to synthesize a projection tool a look-up table must be generated for the interferometer which details required level of demodulation as a function of scanner focal position. Each look-up table is specific to the projection exposure settings of interest, including the scanner NA, illumination conditions, the mask type and any mask bias applied. It is useful to note that the NA chosen for the interferometer depends on the printed pitch, not the NA of the scanner being modeled. Here an interferometer using 0.54NA will emulate a 0.75NA projection scanner. Figure 1 shows a schematic of the Amphibian XIS immersion ministepper. The mask is a phase grating used to produce the two beams which will produce the interference in the resist. A Smith-Talbot prism lens is used to bring the first diffraction orders together again at the wafer plane. Multiple prisms are available with different angled facets, allowing the angle of incidence between the interfering beams to be altered, effectively changing the system s numerical aperture. The system may run with an air gap between the prism and the resist, if the numerical aperture is below unity. Alternatively, water or another high index fluid may be placed between the prism and the substrate allowing immersion lithography. Between the mask and the prism a zero order block is present to attenuate the small (~2%) level of light transmitted straight through the mask. Additionally, a computer controlled demodulator system is present here which can block one of the two primary diffracted orders, so that the synthetic projection aerial image may be created. A look-up table was generated to simulate the aerial image created by the ASML prototype TWINSCAN/1150i immersion scanner at Albany Nanotech when run under the conditions described in Table 1. PROLITH v9.2 (KLA_Tencor, Austin, TX) was used to simulate the aerial image produced by this tool/mask combination through focus. A MATLAB routine was then executed which identifies the Amphibian demodulation level that best matches each projection aerial image. The resulting look-up table describes the required amount of one and two beam exposure required to synthesize each level of defocus and is illustrated graphically in Figure 2. The table s derivation is discussed in more depth by Bourov et al. 4 Proc. of SPIE Vol N-2

4 Lambda Physik Optex-Pro Laser Shutter Mirror Illumination Optics Field Stop Aperture Polarizer Objective Spatial Filter Mask Beam Blocker Variable NA Prism Wafer Figure 1: Schematic of the Amphibian XIS Ministepper Substrate 300mm Si BARC 80nm AR C) Resist 200nm XP-4946 Softbake 95 C Topcoat None Exposure 1150i 0.75NA, Ann 0.89σo/0.59σi Mask 90nm L/S 6%AttPSM PEB 95 C Develop 60 sec 0.26N TMAH Table 1: Processing conditions for XP4946 exposures on the ASML TWINSCAN /1150i Proc. of SPIE Vol N-3

5 0, 'C S = C, C, 0 C, C 0 2 C, 0 S c-fl 0 P cfl Required Modulation (Dosetwo beam I(Dosetwo beam + Dosesingle_heam)) P P P P P 0 rj a 0, P a) Figure 2: Look-up table showing the required level of modulation to synthesis the aerial image of the ASML /1150i scanner imaging dense 90nm lines under the process conditions described in Table EXPERIMENTAL RESULTS A 200nm thick film of XP-4946 photoresist was processed on the Albany Nanotech ASML /1150i in accordance with the processing conditions in Table 1. The same thickness of material was processed on the Amphibian XIS under identical process conditions. A pseudo focus-exposure matrix (FEM) was shot using the look up table shown in figure 2 and a full modulated exposure array was shot for comparison. The resulting wafers were analyzed on a Hitachi S9300 CD SEM for exposure and focus (or pseudo-focus) latitude. The line-edge roughness measurement program SUMMIT was used to determine LER for optimally imaged SEM pictures. Figures 3, 4 and 5 show the observed exposure latitude for the ASML /1150i scanner, the full modulated interferometer, and the demodulated interferometer exposure, respectively. As expected the 100% modulated interferometer exposure has much higher exposure latitude (modulation) than the projection system, 20.5% versus 11.4%. The demodulated interferometer exposure however shows reduced modulation, matching the projection case excellently with 11.2% exposure latitude. It should be noted that the interferometric exposure doses are not calibrated to the scanner values. Proc. of SPIE Vol N-4

6 C.D. (nm) Exposure Dose (mj/sqcm) Figure3: 90nm dense lines exposure latitude plot for the ASML /1150i. For a ±10% CD variation 11.4% exposure latitude is observed C.D. (nm) Exposure Dose (mj/cm 2 ) Figure 4: 90nm dense lines exposure latitude plot for the fully modulated Amphibian XIS. For a ±10% CD variation 20.5% exposure latitude is observed. Proc. of SPIE Vol N-5

7 C.D. (nm) Exposure Dose (mj/sqcm) Figure 5: 90nm dense lines exposure latitude plot for the demodulate Amphibian exposure. For a ±10% CD variation 11.2% exposure latitude is observed. It is well documented that LER (line edge roughness) is correlated to aerial image contrast 5, therefore it would be expected that the fully modulated interferometer image should have superior LER to the projection image. If the demodulated interferometer exposure look-up table is accurate the LER produced should be similar to that of the scanner. Figure 6 shows top down SEM images for each of the three exposure cases at the dose closest to nominal sizing. The LER of the 100% modulated interference exposure is indeed the best at 4.4nm. The similarity between the ASML exposed resist and the 56% modulated Amphibian exposure (6.8nm LER versus 6.3nm LER) again suggests that the double exposure procedure is emulating the projection optics well. Figure 7 compares the CD focus latitude at best dose measured for the projection exposure against the pseudo-focus latitude generated by the demodulated Amphibian exposure. Figure 8 shows the SEM images corresponding to the CD measurements of figure 7. Although there is a rough correlation between the two data sets, the interferometer appears to slightly under predict the focus latitude of the resist and the data is certainly noisier. Inspection of figure 5 suggests that this higher noise level is also present in the demodulated exposure latitude data. The fully modulated exposure latitude data in figure 4 however looks much less sensitive to noise. Proc. of SPIE Vol N-6

8 Amphibian 56% Modulation LER 6.3 nm ASML /1150i Best Focus LER 6.8 nm Amphibian 100% Modulation LER 4.5nm Figure 6: LER measurements for each exposure method determined by SUMMIT Demodulated Interferometer Data ASML 1150i Data C.D. (nm) Focus Offset (microns) Figure 7: Comparison of projection focus latitude and interferometer demodulated pseudo-focus latitude at best exposure for 90nm dense lines. Proc. of SPIE Vol N-7

9 Amphibian XIS Synthetic Focus Steps ASML TWINSCAN/1150i Immersion Scanner Actual Focus Steps Figure 8: Hitachi S9300 SEM images for projection focus latitude and interferometer demodulated pseudo-focus latitude at best exposure (90nm dense lines). 4. SUMMARY AND CONCLUSIONS The experimental immersion results shows that the use of the interference demodulation technique suggested by Cropanese et al. appears to work well. Exposure latitude and line edge roughness data from the Amphibian XIS correlates well with the projection results from the ASML /1150i. Exposure latitude data was also in general agreement but was less convincing than the exposure latitude and LER results. Overall the technique seems to be a useful way of estimating the performance of resist materials on immersion projections systems. 5. ACKNOWLEDGEMENTS The authors would like to thank Darren Brookhart, John Weeks and Lior Huli of Albany Nanotech for their assistance preparing the wafers exposed on the ASML TWINSCAN /1150i. 6. REFERENCES 1. Cropanese, F.C., Bourov, A., Fan, Y., Estroff, A. Zavyalova, L.V., and Smith, B. W., Synthesis of projection lithography for low-k 1 via interferometry, Proc. SPIE 5377, 1836 (2004) Proc. of SPIE Vol N-8

10 2. Cropanese, F.C., Bourov, A., Fan, Y., Zhou, J., Zavyalova, L.V., and Smith, B. W., Synthetic defocus for interferometric lithography, Proc. SPIE 5754, 1769 (2005) 3. Smith, B.W., Bourov, A., Fan, Y., Cropanese, F.C., and Hammond, P., Amphibian XIS: an immersion lithography microstepper Proc. SPIE 5754, 751 (2005). 4. Bourov, A., Robertson, S.A., Smith, B.W., Slocum, M., and Piscani, E., Resist process window characterization for the 45-nm node using an interferometric immersion microstepper, Proc SPIE , Pawloski, A.R., Acheta, A. Lalovic, I., La Fontaine, B.M. and Levinson, H.J., Characterization of line-edge roughness in photoresist using an image fading technique, Proc. SPIE 5376, pp , Proc. of SPIE Vol N-9

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

Using the Normalized Image Log-Slope

Using the Normalized Image Log-Slope T h e L i t h o g r a p h y E x p e r t (Winter 2001) Using the Normalized mage Log-Slope Chris A. Mack, FNLE Technologies, A Division of KLA-Tencor, Austin, Texas Projection imaging tools, such as scanners,

More information

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto ShinEtsuMicroSi, Inc. San Jose, CA 95112

More information

Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster

Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to ASML PAS 5500 Wafer Alignment and Exposure Dr. Lynn Fuller Stephanie Bolster Webpage: http://people.rit.edu/lffeee 82 Lomb

More information

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto Shin-Etsu MicroSi, Inc.

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

3.5.4.2 One example: Michelson interferometer

3.5.4.2 One example: Michelson interferometer 3.5.4.2 One example: Michelson interferometer mirror 1 mirror 2 light source 1 2 3 beam splitter 4 object (n object ) interference pattern we either observe fringes of same thickness (parallel light) or

More information

Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998)

Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998) Holographically corrected microscope with a large working distance (as appears in Applied Optics, Vol. 37, No. 10, 1849-1853, 1 April 1998) Geoff Andersen and R. J. Knize Laser and Optics Research Center

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

A Guide to Acousto-Optic Modulators

A Guide to Acousto-Optic Modulators A Guide to Acousto-Optic Modulators D. J. McCarron December 7, 2007 1 Introduction Acousto-optic modulators (AOMs) are useful devices which allow the frequency, intensity and direction of a laser beam

More information

Application Report: Running µshape TM on a VF-20 Interferometer

Application Report: Running µshape TM on a VF-20 Interferometer : Running µshape TM on a VF-20 Interferometer General This report describes how a fiber interferometer from Arden Photonics Ltd was used together with the µshape TM Generic software package. The VF-20

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Technical Data Sheet MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications Regional Product Availability Description Advantages North America Europe, Middle East and Africa Latin

More information

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red

Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red Changing the economics of space Efficiency, Dispersion and Straylight Performance Tests of Immersed Gratings for High Resolution Spectroscopy in the Near Infra-red J. Fernandez-Saldivar 1, F. Culfaz 1,

More information

Modern Classical Optics

Modern Classical Optics Modern Classical Optics GEOFFREY BROOKER Department of Physics University of Oxford OXPORD UNIVERSITY PRESS Contents 1 Electromagnetism and basic optics 1 1.1 Introduction 1 1.2 The Maxwell equations 1

More information

Introduction to Optics

Introduction to Optics Second Edition Introduction to Optics FRANK L. PEDROTTI, S.J. Marquette University Milwaukee, Wisconsin Vatican Radio, Rome LENO S. PEDROTTI Center for Occupational Research and Development Waco, Texas

More information

Fibre Bragg Grating Sensors An Introduction to Bragg gratings and interrogation techniques

Fibre Bragg Grating Sensors An Introduction to Bragg gratings and interrogation techniques Fibre Bragg Grating Sensors An ntroduction to Bragg gratings and interrogation techniques Dr Crispin Doyle Senior Applications Engineer, Smart Fibres Ltd. 2003 1) The Fibre Bragg Grating (FBG) There are

More information

RAY TRACING UNIFIED FIELD TRACING

RAY TRACING UNIFIED FIELD TRACING RAY TRACING Start to investigate the performance of your optical system using 3D ray distributions, dot diagrams of ray positions and directions, and optical path length. GEOMETRIC FIELD TRACING Switch

More information

High Refractive Index Polymer Platforms for 193nm Immersion Lithography

High Refractive Index Polymer Platforms for 193nm Immersion Lithography High Refractive Index Polymer Platforms for 193nm Immersion Lithography Ratnam Sooriyakumaran Daniel P. Sanders Hoa Truong Robert D. Allen IBM Almaden Research Center Matthew E. Colburn Gregory R. McIntyre

More information

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade

More information

1 Introduction. Roger H. French DuPont Company Central Research Wilmington, Delaware 19880-0356. Harry Sewell ASML Wilton, Connecticut 06877

1 Introduction. Roger H. French DuPont Company Central Research Wilmington, Delaware 19880-0356. Harry Sewell ASML Wilton, Connecticut 06877 J. Microlith., Microfab., Microsyst. 4 3, 031103 Jul Sep 2005 Imaging of 32-nm 1:1 lines and spaces using 193-nm immersion interference lithography with second-generation immersion fluids to achieve a

More information

Optical thickness measurement of substrates using a transmitted wavefront test at two wavelengths to average out multiple reflection errors

Optical thickness measurement of substrates using a transmitted wavefront test at two wavelengths to average out multiple reflection errors Copyright 2002 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE and is made available as an electronic reprint with permission of SPIE. One print or electronic

More information

Basic Manual Control of a DSLR Camera

Basic Manual Control of a DSLR Camera Basic Manual Control of a DSLR Camera Naixn 2008 Photographers don t just take photographs - they make them! Produced by Yon Ankersmit for curious-eye.com 2009 Digital Single Lens Reflex Camera The basic

More information

Microlenses immersed in nematic liquid crystal with electrically. controllable focal length

Microlenses immersed in nematic liquid crystal with electrically. controllable focal length Microlenses immersed in nematic liquid crystal with electrically controllable focal length L.G.Commander, S.E. Day, C.H. Chia and D.R.Selviah Dept of Electronic and Electrical Engineering, University College

More information

Today. next two weeks

Today. next two weeks Today Temporal and spatial coherence Spatially incoherent imaging The incoherent PSF The Optical Transfer Function (OTF) and Modulation Transfer Function (MTF) MTF and contrast comparison of spatially

More information

Introduction to Add-Drop Multiplexers

Introduction to Add-Drop Multiplexers 3 Introduction to Add-Drop Multiplexers In this chapter different channel routing technologies are reviewed, highlighting the advantages and drawbacks of the different devices and configurations. The parameters

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND

DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND DOING PHYSICS WITH MATLAB COMPUTATIONAL OPTICS RAYLEIGH-SOMMERFELD DIFFRACTION INTEGRAL OF THE FIRST KIND THE THREE-DIMENSIONAL DISTRIBUTION OF THE RADIANT FLUX DENSITY AT THE FOCUS OF A CONVERGENCE BEAM

More information

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014

Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Laboratory #3 Guide: Optical and Electrical Properties of Transparent Conductors -- September 23, 2014 Introduction Following our previous lab exercises, you now have the skills and understanding to control

More information

Resolution for Color photography

Resolution for Color photography Resolution for Color photography Paul M. Hubel a and Markus Bautsch b a Foveon, Inc., 282 San Tomas Expressway, Santa Clara, CA, USA 955; b Stiftung Warentest, Luetzowplatz -3, D-785 Berlin-Tiergarten,

More information

Dual Side Lithography Measurement, Precision and Accuracy

Dual Side Lithography Measurement, Precision and Accuracy Dual Side Lithography Measurement, Precision and Accuracy Daniel Schurz, Warren W. Flack, Robert L. Hsieh Ultratech, Inc. San Jose, CA 95134 Advances in micromachining (MEMS) applications such as optical

More information

Diffraction of a Circular Aperture

Diffraction of a Circular Aperture Diffraction of a Circular Aperture Diffraction can be understood by considering the wave nature of light. Huygen's principle, illustrated in the image below, states that each point on a propagating wavefront

More information

Accuracy of SpotOptics wavefront sensors. June 2010 Version 4.0

Accuracy of SpotOptics wavefront sensors. June 2010 Version 4.0 Accuracy of SpotOptics wavefront sensors June Version 4.0 1 1 Basic concepts: accuracy, precision and repeatability Repeatability is not the same as accuracy You can have high repeatability but low accuracy

More information

Color holographic 3D display unit with aperture field division

Color holographic 3D display unit with aperture field division Color holographic 3D display unit with aperture field division Weronika Zaperty, Tomasz Kozacki, Malgorzata Kujawinska, Grzegorz Finke Photonics Engineering Division, Faculty of Mechatronics Warsaw University

More information

WAVELENGTH OF LIGHT - DIFFRACTION GRATING

WAVELENGTH OF LIGHT - DIFFRACTION GRATING PURPOSE In this experiment we will use the diffraction grating and the spectrometer to measure wavelengths in the mercury spectrum. THEORY A diffraction grating is essentially a series of parallel equidistant

More information

Axial intensity distribution of lens axicon illuminated by Gaussian-Schell model beam

Axial intensity distribution of lens axicon illuminated by Gaussian-Schell model beam 46 1, 018003 January 2007 Axial intensity distribution of lens axicon illuminated by Gaussian-Schell model beam Yuan Chen Jixiong Pu Xiaoyun Liu Huaqiao University Department of Electronic Science and

More information

Sensitivity to both h- and i-line makes AZ 9200 photoresist capable for both broadband and i-line steppers.

Sensitivity to both h- and i-line makes AZ 9200 photoresist capable for both broadband and i-line steppers. Product Data Sheet AZ 9200 Photoresist 1µm Film Thickness 4.6 µm High-Resolution Thick Resist AZ 9200 thick film photoresist is designed for the more demanding higher-resolution thick resist requirements.

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

Holographic data storage at 2+ Tbit/in 2

Holographic data storage at 2+ Tbit/in 2 Holographic data storage at + Tbit/in Mark R. Ayres *, Ken Anderson, Fred Askham, Brad Sissom, Adam C. Urness Akonia Holographics, LLC, Miller Dr., Longmont, CO, USA, 85 ABSTRACT The onslaught of big data

More information

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing

Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Metrology for Characterization of Wafer Thickness Uniformity During 3D-IC Processing Authors: Tom Dunn, Chris Lee, Mark Tronolone, Aric Shorey Corning Incorporated Corning, New York 14831 ShoreyAB@corning.com

More information

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours)

P R E A M B L E. Facilitated workshop problems for class discussion (1.5 hours) INSURANCE SCAM OPTICS - LABORATORY INVESTIGATION P R E A M B L E The original form of the problem is an Experimental Group Research Project, undertaken by students organised into small groups working as

More information

4.3.5: High Temperature Test 3

4.3.5: High Temperature Test 3 temperature and 800 degrees Celsius is made by matching the optical path lengths of the measurement and sensing arms at both temperatures. By marking the relative distance between the GRIN lens and mirror

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

A Simple Fiber Optic displacement Sensor for Measurement of Light Intensity with the Displacement

A Simple Fiber Optic displacement Sensor for Measurement of Light Intensity with the Displacement A Simple Fiber Optic displacement Sensor for Measurement of Light Intensity with the Displacement Trilochan patra Assistant professor, Department of Electronics and Communication Engineering, Techno India

More information

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Toru Suzuki* a, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Masashi Shinbori**, Masaya Yoshino**,

More information

SpeedLight 2D. for efficient production of printed circuit boards

SpeedLight 2D. for efficient production of printed circuit boards laser direct imaging SpeedLight 2D laser direct imaging platform for efficient production of printed circuit boards MANZ AG /// Manz SpeedLight 2D /// 2 History of the development of Manz SpeedLight 2D

More information

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm?

6) How wide must a narrow slit be if the first diffraction minimum occurs at ±12 with laser light of 633 nm? Test IV Name 1) In a single slit diffraction experiment, the width of the slit is 3.1 10-5 m and the distance from the slit to the screen is 2.2 m. If the beam of light of wavelength 600 nm passes through

More information

Fraunhofer Diffraction

Fraunhofer Diffraction Physics 334 Spring 1 Purpose Fraunhofer Diffraction The experiment will test the theory of Fraunhofer diffraction at a single slit by comparing a careful measurement of the angular dependence of intensity

More information

Data Destruction for Optical Discs

Data Destruction for Optical Discs Data Destruction for Optical Discs Tom D. Milster University of Arizona Optical Sciences Center 1630 East University Blvd., Tucson AZ 85721-0094 Phone: +1-520-621-8280 FAX: +1-520-621-4358 E-mail: milster@arizona.edu

More information

III. MEMS Projection Helvetica 20 Displays

III. MEMS Projection Helvetica 20 Displays Helvetica 26 Helvetica 22 III. MEMS Projection Displays Micro Mirror Projection - Texas Instruments DMD - Daewoo Elec. AMA Grating Light Valve - Silicon Light Machines Image Projection Color Synthesis

More information

Interference. Physics 102 Workshop #3. General Instructions

Interference. Physics 102 Workshop #3. General Instructions Interference Physics 102 Workshop #3 Name: Lab Partner(s): Instructor: Time of Workshop: General Instructions Workshop exercises are to be carried out in groups of three. One report per group is due by

More information

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM.

Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Lecture 20: Scanning Confocal Microscopy (SCM) Rationale for SCM. Principles and major components of SCM. Advantages and major applications of SCM. Some limitations (disadvantages) of NSOM A trade-off

More information

Computer simulation of coating processes with monochromatic monitoring

Computer simulation of coating processes with monochromatic monitoring Computer simulation of coating processes with monochromatic monitoring A. Zöller, M. Boos, H. Hagedorn, B. Romanov Leybold Optics GmbH, Siemensstrasse 88, 655 Alzenau, Germany ABSTRACT For the production

More information

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY

3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY 3D TOPOGRAPHY & IMAGE OVERLAY OF PRINTED CIRCUIT BOARD ASSEMBLY Prepared by Duanjie Li, PhD & Andrea Novitsky 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard

More information

Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields

Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields Optical Microscopy Beyond the Diffraction Limit: Imaging Guided and Propagating Fields M. Selim Ünlü, Bennett B. Goldberg, and Stephen B. Ippolito Boston University Department of Electrical and Computer

More information

How an electronic shutter works in a CMOS camera. First, let s review how shutters work in film cameras.

How an electronic shutter works in a CMOS camera. First, let s review how shutters work in film cameras. How an electronic shutter works in a CMOS camera I have been asked many times how an electronic shutter works in a CMOS camera and how it affects the camera s performance. Here s a description of the way

More information

Imaging techniques with refractive beam shaping optics

Imaging techniques with refractive beam shaping optics Imaging techniques with refractive beam shaping optics Alexander Laskin, Vadim Laskin AdlOptica GmbH, Rudower Chaussee 29, 12489 Berlin, Germany ABSTRACT Applying of the refractive beam shapers in real

More information

Introduction to reflective aberration corrected holographic diffraction gratings

Introduction to reflective aberration corrected holographic diffraction gratings Introduction to reflective aberration corrected holographic diffraction gratings By Steve Slutter, Wu Jiang, and Olivier Nicolle The reflective diffraction grating is the heart of most spectroscopy systems

More information

Microlithographic Pattern Generation for Optics

Microlithographic Pattern Generation for Optics Microlithographic Pattern Generation for Optics Bernd Schnabel Leica Microsystems Lithography GmbH, Göschwitzer Str. 25, 07745 Jena bernd.schnabel@leica-microsystems.com 1 Introduction For the fabrication

More information

Major LED manufacturing trends and challenges to support the general lighting application

Major LED manufacturing trends and challenges to support the general lighting application Major LED manufacturing trends and challenges to support the general lighting application Semicon Russia 2011, June 1st! Ralph Zoberbier Director Product Management Aligner Content" 1. SUSS MicroTec Introduction

More information

MICROFOCUSING OF THE FERMI@ELETTRA FEL BEAM WITH A K-B ACTIVE OPTICS SYSTEM: SPOT SIZE PREDICTIONS. Lorenzo Raimondi

MICROFOCUSING OF THE FERMI@ELETTRA FEL BEAM WITH A K-B ACTIVE OPTICS SYSTEM: SPOT SIZE PREDICTIONS. Lorenzo Raimondi MICROFOCUSING OF THE FERMI@ELETTRA FEL BEAM WITH A K-B ACTIVE OPTICS SYSTEM: SPOT SIZE PREDICTIONS Lorenzo Raimondi PADReS Group Sincrotrone Trieste SCpA 1 FERMI@Elettra seeded FEL FEL 1 FEL 2 FEL 1 from

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

REPORT DOCUMENTATION PAGE

REPORT DOCUMENTATION PAGE REPORT DOCUMENTATION PAGE Form Approved OMB No. 0704-0188 Public reporting burden for this collection of information is estimated to average 1 hour per response, including the time for reviewing instructions,

More information

Synthetic Sensing: Proximity / Distance Sensors

Synthetic Sensing: Proximity / Distance Sensors Synthetic Sensing: Proximity / Distance Sensors MediaRobotics Lab, February 2010 Proximity detection is dependent on the object of interest. One size does not fit all For non-contact distance measurement,

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

FTIR Instrumentation

FTIR Instrumentation FTIR Instrumentation Adopted from the FTIR lab instruction by H.-N. Hsieh, New Jersey Institute of Technology: http://www-ec.njit.edu/~hsieh/ene669/ftir.html 1. IR Instrumentation Two types of instrumentation

More information

Using light scattering method to find The surface tension of water

Using light scattering method to find The surface tension of water Experiment (8) Using light scattering method to find The surface tension of water The aim of work: The goals of this experiment are to confirm the relationship between angular frequency and wave vector

More information

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD

Optical Metrology. Third Edition. Kjell J. Gasvik Spectra Vision AS, Trondheim, Norway JOHN WILEY & SONS, LTD 2008 AGI-Information Management Consultants May be used for personal purporses only or by libraries associated to dandelon.com network. Optical Metrology Third Edition Kjell J. Gasvik Spectra Vision AS,

More information

Longwave IR focal-plane binary optics

Longwave IR focal-plane binary optics Longwave IR focal-plane binary optics Z. Sikorski, H. Polakowski Institute of Optoelectronics, Military University of Technology, 2 Kaliskiego Str., -98 Warsaw, e-mail: zsikorsk@wat.waw.pl Abstract In

More information

Introduction to Fourier Transform Infrared Spectrometry

Introduction to Fourier Transform Infrared Spectrometry Introduction to Fourier Transform Infrared Spectrometry What is FT-IR? I N T R O D U C T I O N FT-IR stands for Fourier Transform InfraRed, the preferred method of infrared spectroscopy. In infrared spectroscopy,

More information

Holography 1 HOLOGRAPHY

Holography 1 HOLOGRAPHY Holography 1 HOLOGRAPHY Introduction and Background The aesthetic appeal and commercial usefulness of holography are both related to the ability of a hologram to store a three-dimensional image. Unlike

More information

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm URN (Paper): urn:nbn:de:gbv:ilm1-2014iwk-199:0 58 th ILMENAU SCIENTIFIC COLLOQUIUM Technische Universität Ilmenau, 08 12 September 2014 URN: urn:nbn:de:gbv:ilm1-2014iwk:3 DETECTION OF SUBSURFACE DAMAGE

More information

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm

Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Progress In Electromagnetics Research Symposium Proceedings, Taipei, March 5 8, 3 359 Development of Optical Wave Microphone Measuring Sound Waves with No Diaphragm Yoshito Sonoda, Takashi Samatsu, and

More information

Physics 441/2: Transmission Electron Microscope

Physics 441/2: Transmission Electron Microscope Physics 441/2: Transmission Electron Microscope Introduction In this experiment we will explore the use of transmission electron microscopy (TEM) to take us into the world of ultrasmall structures. This

More information

Laser expander design of highly efficient Blu-ray disc pickup head

Laser expander design of highly efficient Blu-ray disc pickup head Laser expander design of highly efficient Blu-ray disc pickup head Wen-Shing Sun, 1,* Kun-Di Liu, 1 Jui-Wen Pan, 1 Chuen-Lin Tien, 2 and Min-Sheng Hsieh 1 1 Department of Optics and Photonics, National

More information

WOOD WEAR TESTING USING TRIBOMETER

WOOD WEAR TESTING USING TRIBOMETER WOOD WEAR TESTING USING TRIBOMETER Prepared by Duanjie Li, PhD 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2015 NANOVEA INTRO

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh extreme lithography extreme lithography xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh Wilhelm-Runge-Str. 11 89081 Ulm Germany phone +49 731 505 59 00 fax +49 731 505 59 05

More information

FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION

FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION FRAUNHOFER IPMS: WE SHAPE THE LIGHT. PRODUCTS AND FIELDS OF APPLICATION DR. HARALD SCHENK 10.03.2010 AGENDA Fraunhofer- Gesellschaft Fraunhofer-IPMS in Profile Products and Fields of Application AGENDA

More information

Plastic Film Texture Measurement With 3D Profilometry

Plastic Film Texture Measurement With 3D Profilometry Plastic Film Texture Measurement With 3D Profilometry Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

EUV Source Technology: Challenges and Status

EUV Source Technology: Challenges and Status Chapter 1 EUV Source Technology: Challenges and Status Vivek Bakshi Contents 1.1 Introduction 4 1.2 Conversion Efficiency of EUV Sources 4 1.2.1 DPP versus LPP 4 1.2.2 Xe, Sn, and Li conversion efficiency

More information

Theremino System Theremino Spectrometer Technology

Theremino System Theremino Spectrometer Technology Theremino System Theremino Spectrometer Technology theremino System - Theremino Spectrometer Technology - August 15, 2014 - Page 1 Operation principles By placing a digital camera with a diffraction grating

More information

Light management for photovoltaics using surface nanostructures

Light management for photovoltaics using surface nanostructures Light management for photovoltaics using surface nanostructures Roberta De Angelis Department of Industrial Engineering and INSTM, University of Rome Tor Vergata New Materials For Optoelectronics webnemo.uniroma2.it

More information

Lenses and Apertures of A TEM

Lenses and Apertures of A TEM Instructor: Dr. C.Wang EMA 6518 Course Presentation Lenses and Apertures of A TEM Group Member: Anup Kr. Keshri Srikanth Korla Sushma Amruthaluri Venkata Pasumarthi Xudong Chen Outline Electron Optics

More information

ENGINEERING METROLOGY

ENGINEERING METROLOGY ENGINEERING METROLOGY ACADEMIC YEAR 92-93, SEMESTER ONE COORDINATE MEASURING MACHINES OPTICAL MEASUREMENT SYSTEMS; DEPARTMENT OF MECHANICAL ENGINEERING ISFAHAN UNIVERSITY OF TECHNOLOGY Coordinate Measuring

More information

CREOL, College of Optics & Photonics, University of Central Florida

CREOL, College of Optics & Photonics, University of Central Florida OSE6650 - Optical Properties of Nanostructured Materials Optical Properties of Nanostructured Materials Fall 2013 Class 3 slide 1 Challenge: excite and detect the near field Thus far: Nanostructured materials

More information

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light Name: Period: Date: MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. 1) Reflection,

More information

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications

Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Scanning Near Field Optical Microscopy: Principle, Instrumentation and Applications Saulius Marcinkevičius Optics, ICT, KTH 1 Outline Optical near field. Principle of scanning near field optical microscope

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

EXPERIMENT 6 OPTICS: FOCAL LENGTH OF A LENS

EXPERIMENT 6 OPTICS: FOCAL LENGTH OF A LENS EXPERIMENT 6 OPTICS: FOCAL LENGTH OF A LENS The following website should be accessed before coming to class. Text reference: pp189-196 Optics Bench a) For convenience of discussion we assume that the light

More information

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff

Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff Specifying Plasma Deposited Hard Coated Optical Thin Film Filters. Alluxa Engineering Staff December 2012 Specifying Advanced Plasma Deposited Hard Coated Optical Bandpass and Dichroic Filters. Introduction

More information

IMPROVING MEASUREMENTS BASED ON THE CAT S EYE RETRO- REFLECTION. Katherine Mary Medicus

IMPROVING MEASUREMENTS BASED ON THE CAT S EYE RETRO- REFLECTION. Katherine Mary Medicus IMPROVING MEASUREMENTS BASED ON THE CAT S EYE RETRO- REFLECTION by Katherine Mary Medicus A dissertation submitted to the faculty of The University of North Carolina at Charlotte in partial fulfillment

More information

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Background The lateral response artifact (LRA) in radiochromic film images from flatbed scanners was first pointed

More information