Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Size: px
Start display at page:

Download "Utilization of AIMS Bossung plots to predict Qz height deviations from nominal"

Transcription

1 Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade 10, Jena, Germany 2 Photronics, Inc., S. Federal Way, Boise, ID ABSTRACT The ZEISS AIMS measurement system has been established for many years as the industry standard for qualifying the printability of mask features based on the aerial image. Typical parameters in determining the printability of a feature are the critical dimension (CD) and intensity deviations of the feature or region of interest with respect to the nominal. While this information is critical to determine if the feature will pass printability, it gives little insight into why the feature failed. For instance, determining if the failure occurs due to the quartz level deviating from that of the nominal height can be problematic. Atomic force microscopy (AFM) is commonly used to determine such physical dimensions as the quartz etch depth or height and sidewall roughness for verification purposes and to provide feedback to front end processes. In addition the AFM is a useful tool in monitoring and providing feedback to the repair engineers as the depth of the repair is one of the many critical parameters which must be controlled in order to have a robust repair process. In collaboration with Photronics nanofab, we have previously shown the Bossung plot obtained from the AIMS aerial image of a feature can be used to determine if the quartz level of a repaired region is above or below the nominal value. This technique can further be used to extract the etch time associated with the nominal quartz height in order to optimize the repair process. The use of this method can be used in lieu of AFM, effectively eliminating the time and effort associated with performing additional metrology steps in a separate system. In this paper we present experimental results supporting the technique and its applicability. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, Photronics, Carl Zeiss, ZEISS INTRODUCTION As the complexity associated with photomasks continues to increase, so does the number of processing and metrology steps required to create and qualify the photomask. Any effort to reduce the number of steps needed to manufacture a photomask leads to a shorter turn-around time (TAT) and lower processing costs. As hard defects account for nearly 60% of the yield loss mechanism 1, ensuring the highest possible repair yield at the end of the line is another critical aspect in saving the time and costs already invested in the mask. The ZEISS AIMS aerial image measurement system is an absolutely necessary step in photomask qualification 2,3,4 providing a wealth of metrology information based on the aerial image performance of the photomask at actinic wavelength and not its physical dimensions. However in the case that the performance fails, a stand-alone atomic force microscope (AFM) is often utilized to obtain accurate measurements of the physical dimensions of the photomask. Use of the AFM requires an additional, time-consuming metrology step to obtain only a limited data set. It would be advantageous if defect information, such as variations in quartz height, could be extracted from already collected AIMS data therefore eliminating this extra AFM step. Furthermore the ability to predict the optimal repair process from this data could increase the process window of each individual repair as well as the overall repair yield of the mask house. 29th European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Wilhelm Maurer, Proc. of SPIE Vol. 8886, 88860K 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol K-1

2 Figure 1 shows the typical use cases for the AIMS system as they occur in the back end of the line (BEOL) process flow. The mask undergoes an inspection step which results in a large number of possible defect locations. The AIMS system then visits each of these possible defect locations and using the same illumination settings that will be used in the scanner to expose the aerial image of the mask onto the wafer, determines which of these are printing defects and which are not. This defect disposition step is important to determine which of these sites actually require repair and furthermore, which repair process is suitable for each particular defect. After the repair is performed the mask is returned to the AIMS system for repair verification in order to determine if the repair was successful. If successful the mask can then be shipped to the customer, but in the case the repair is still failing, the AIMS data can be used to determine if the site can be further repaired. Use Case I [eft ciisposition h1erit` ' Use Case 2 Repair verification Non -actinic inspection tool locates many 'possible' defect locations u s AIMSTM rl I Which sites are actual defects requiring repair? What is the best repair process for the defect? Performs repair on only those sites requiring repair 1 Was the repair successful? If the repair is not in spec can it be rere,.sirman is Customer receives a perfect mask Figure 1. Standard AIMS use cases in the BEOL process flow. After inspection the AIMS qualifies each possible defect site and determines which must be repaired. The AIMS is used again to verify the success of the repairs and ensure the mask can be shipped to the customer. Whether performing defect disposition or repair verification, the information pertinent to manufacturing is the printability of the defect, meaning the critical dimension (CD) at best focus as well as in a certain through focus range. Figure 2 shows two of the most commonly used data outputs from the AIMS system. The aerial image can be used to visually find the location of a defect and to define the desired measurement position. The intensity plot is primarily used to determine if a defect or repair is passing or failing by displaying the relative intensity of the aerial image, and therefore the printable CD through focus for a user defined threshold value. This is critical information for the mask house in order to determine if the feature meets the required specifications. MOM 211E r9M e Comm 11 % Yn 0001 Raa % Plot 1 t F4 KO O1í1 a oo oí7 3 roo 90 oos AIMS (muons al mask) Proue Imnoni x m.uy Figure 2. Two of the most useful AIMS outputs for the mask shop include the aerial image (left) which can be used to locate the defect and define the measurement position, and the intensity plot (right) which is used to quantify if the feature of interest is in or out of spec. Proc. of SPIE Vol K-2

3 In the case that the feature of interest does not meet the specifications and results in a defect or failing repair, it is useful to know why. The reason for failure can help to determine the proper repair process as well as to provide feedback to the front end of the line (FEOL) for process optimization or yield improvement. In certain defect cases, such as when the quartz height deviates from the nominal value, this information is not provided from the intensity plot and another metrology step utilizing an AFM must be performed. In this paper it will be shown that the Bossung plot provided by the AIMS can be used as a simple metric to determine qualitatively if a specific region is over or under etched. Furthermore, the slope of the Bossung plot is related to the magnitude of the deviation from the nominal quartz height and will be used to calculate an optimal repair process. The concept of the idea and theoretical explanation will be presented along with experimental results supporting the proposal. CONCEPT In order to explain the effect utilized in this work, consider an embedded attenuated phase shift mask (EAPSM) with a line and space pattern. Three different cases of interest exist as shown in Figure 3 including the nominal case in which the quartz height is at the optimal target position, the overetch case in which the quartz level is below that of the nominal and the underetch case in which phase shifting material remains above the quartz level. For each case, the CD as a function of defocus, or Bossung plot, is of interest. The focus position will be defined as shown in the image with a negative (-) value referring to the intrafocal position when the objective is closer to the mask than at best focus. Conversely the extrafocal position, in which the objective is positioned farther from the mask than best focus will be denoted as positive (+). Maim! W Rut Foote &WooI to) Objective position Figure 3. Definition of overetch, nominal and underetch cases shown in a simple mask structure. Intrafocal refers to an objective position closer to the mask at best focus while extrafocal refers to a position farther from the mask than at best focus. The intensity plot obtained from each case, shown in Figure 4, is used to determine the CD. At best focus (black line) the intensity curve, and therefore the CD extracted for a specific threshold, remains relatively constant among the three different cases. As the objective lens is moved to the intrafocal position (red line) however, the overetched condition displays an inverted response to that of the underetched condition due to the difference in the optical path length 5. As the objective is positioned in the extrafocal position (blue line) the responses invert, but are again opposite with respect to the overetch and underetch cases. Proc. of SPIE Vol K-3

4 Iltt.1-j Figure 4. Intensity plot for the three cases considered. The nominal case has a clear isofocal point where the threshold is defined while the over and underetch cases do not. Furthermore, an opposite response to defocus conditions is displayed by the over and underetch cases. In the ideal case there exists an optimal threshold value (the term threshold value will be used in this paper instead of exposure dose) which corresponds to a constant CD through focus, the so-called isofocal point (green line in Figure 4). A Bossung plot at this isofocal point will show slope of 0 for the nominal case as shown in the center graph in Figure 5. For the overetch condition however, the intensity plot in Figure 4 clearly shows that the linewidth increases going from the intrafocal position to the extrafocal position therefore producing a Bossung plot curve with a positive slope as shown in the left graph of Figure 5 below. The underetch condition, on the other hand yields a negative slope. -St LW!Admit& o Focus Focus Facus Figure 5. Bossung plots (linewidth vs. threshold) shown for each of the cases considered. The nominal case has a slope of 0 due to the isofocal behavior. The overetch case shows a positive slope while the underetch case has a negative slope. A Bossung plot with a positive slope therefore indicates an overetched condition in which the quartz level is below that of the nominal while a negative slope indicates a condition in which material (quartz or absorber) remains at a height above the nominal quartz level. The next section will present experimental results supporting this theory as well as demonstrate the fact that the magnitude of the Bossung plot slope is related to the magnitude of the deviation from the nominal quartz height. EXPERIMENTAL RESULTS In order to explore the feasibility of the proposed technique an experiment was designed and performed with a suite of tools in the Photronics nanofab production line. All etches were performed with a ZEISS MeRiT MG45 electron beam based repair tool and physical height data was verified via AFM. All aerial imaging data including the Bossung plot information was obtained with a ZEISS AIMS 45 system. Proc. of SPIE Vol K-4

5 The photomask used was a 193nm MoSi EAPSM designed for testing with various features and programmed defects. For the first part of the experiment a horizontal line and space array with a nominal CD of 230nm and a 1:1 pitch was utilized. Four programmed bridge defects, like the one shown in Figure 6, were etched using the MeRiT with fixed times of 280 s, 240 s, 200 s and 190 s in order to provide two over etched repairs of different depth and two under etched repairs of different height. A summary of the times and deviation from the nominal quartz value are summarized in the table on the right in Figure 6. Linewidth vs. Defocus Etch time (s) AZ from quartz (nm) 'or Figure 6. The two images on the left show SEM views of one of the programmed defects before and after etch. The table on the right summarizes the fixed etch times and deviation from the nominal quartz level as measured by AFM. The Bossung Plots for each of the four etched sites were obtained with the AIMS 45 system and the linewidth vs. defocus curves for the optimum threshold of each etch were extracted. These curves are plotted in Figure 7 below...,.. T Positiv* Si013.0S indicate cveetch 2a0 I 4 4,9 nnl 2=O s -1.3 nm -11M, -Ms s 14G soclr Z -i 'e -G#.S 4.4 Q Q.4 QA Fosus Position inni Negative slopes Indicate underetch 200 a -) 0.4 rim 190s rim Figure 7. The centermost Bossung curve for each of the 4 etches is plotted together. The over etched sites (red) both display a positive slope while the under etched sites (blue) display negative slopes. The magnitude of the slopes in both cases appear to scale with the deviation from the nominal. First, it can be seen that for every etch there is a significant change in the linewidth across the focus range, indicating a non-optimal etch depth. Secondly, for the two over etched repairs plotted in red (280 s and 240 s) the slope is positive, while for the two under etched repairs plotted in blue (200 s and 190 s) the slope is negative. Therefore the claim that the direction of the slope extracted from the Bossung plot can tell whether a feature is above or below the nominal quartz etch holds true. The third important observation is that the magnitude of the slope appears to correlate to that of the Proc. of SPIE Vol K-5

6 deviation from the nominal quartz value. The positive slope of the 280 s (-3.9 nm deep) etch is steeper than that of the shallower 240 s (-1.3 nm deep) etch. Similarly the negative slope of the 190 s etch (10.2 nm height) is steeper than that of the 200 s etch (6.4 nm height). In order to further investigate this effect a linear regression line was fit to each of the linewidth vs. defocus curves above and the slopes were extracted. These slopes were plotted against their respective etch times in a single graph shown in Figure 8 below. Bos.sung slope vs. Etch time y* x- S0.059 ì.s S Etch Mite 14 Figure 8. This graph plots the slope of the centermost Bossung curve against the corresponding etch time for each of the 4 etch times performed. As the optimal etch time should have a slope of 0, a linear regression line was plotted and the etch time corresponding to slope = 0 extracted. An optimum repair should have no change in linewidth through focus and therefore have a slope of 0 for the linewidth vs. defocus curve. A linear regression line was plotted and the regression line was solved for y = 0 (in this plot y is the slope) yielding a theoretical optimum repair time of 222 s. In order to experimentally verify this etch time, another defect was etched with the MeRiT using a fixed 222 s time and then the aerial image data was obtained with the AIMS. Figure 9 shows the AIMS data acquired for the optimized 222 s etch. The linewidth vs. threshold plot in the lower right shows a well-defined iso-focal point while the center Bossung curve in the lower left appears to have a slope near 0. These are both good indicators of a successful repair. Proc. of SPIE Vol K-6

7 RR 9 IMP!! i..r 17. Figure 9. AIMS data acquired from the theoretical optimized etch time of 222 s. The clear isofocal crossover point in the linewidth vs. Threshold plot (bottom...i 41M.1 right) as well as the flat slope in the Bossung plot (bottom left) indicate a successful repair. IiR AxäZl4!2 As a final step to confirm that II the 222 s etch time did correspond to a slope of 0, the center linewidth vs. defocus curve of the Bossung plot was extracted and plotted separately in Figure 10 below. A linear regression line fit to the data produces a slope of 0, indicating that the technique perfectly predicted the etching time required to obtain a slope of 0, and therefore a nominal quartz height. 222 Second Etch E 0.24 y = x c Focus (um) Figure 10. Centermost Bossung plot curve for the theoretically optimized etch time of 222 s shows a relatively flat through slope indicating stable through focus CD behavior. A linear regression line fit produced a slope of 0.0. In order to verify the process is repeatable a second experiment was performed in the same manner with a vertical line and space array of the same linewidth and pitch as well as the same bridge defects. The resulting optimal etch time extracted was 225 s, a 1.5% difference from the initial 222 s etch time obtained from the first experiment. In terms of electron beam repair processes this is a negligible difference. A final etch of 225 s was again performed to validate the experiment and a perfect repair with a slope of 0 was again obtained. Proc. of SPIE Vol K-7

8 CONCLUSIONS AND OUTLOOK In collaboration with Photronics nanofab, it has been demonstrated that the Bossung plot output by the AIMS system can be used to extract useful information about the variation in z-height from the nominal quartz level for a specific etched feature. The slope of the Bossung plot provides immediate qualitative information as to whether the etched region is below or above the nominal. In the case that the Bossung plot has a positive slope, the quartz level lies below that of the nominal level corresponding to an over etch. A negative slope is, on the other hand, indicative of material remaining above the nominal level corresponding to an under etch. The magnitude of the slope of the Bossung plot correlates to the magnitude of the deviation from the nominal quartz height. As the slope, either positive or negative, becomes steeper the variation in height, either below or above the quartz, becomes larger. This relationship has been shown useful in calculating the optimal repair process. This technique can be used to extract height differences relative to a nominal reference in lieu of an AFM metrology step thus shortening TAT. Optimum repair processes can be calculated in order to increase repair yield. Feedback can be provided to front end processes in order to optimize them. Many aspects of this technique are yet to be investigated including the sensitivity and reliability across various mask materials, features and defect sizes. The ability to calculate deposition heights will also be investigated as well as the applicability for quartz bumps and pits. ACKNOWLEDGEMENTS The authors would like to acknowledge the contributions of Thomas Thaler and Vahagn Sargsyan of ZEISS SMS and Mark Ma of Photronics. REFERENCES 1 Malloy, M., and Litt, L., 2012 Mask Industry Survey, Proc. SPIE 8522, (2012). 2 Zibold, A., et. Al., Application results at 193nm: lithography emulation by aerial imaging and supplementary high resolution measurements, Proc. SPIE 5835, (2005). 3 Duerr, A., Zibold, A., and Boehm, K., An advanced study for defect disposition through 193nm aerial imaging, Proc. SPIE Vol. 6152, (2006). 4 Scheruebl, T., et. al., Programmed defects study on masks for 45nm immersion lithography using the novel AIMs i, Proc. SPIE 6533, (2007). 5 Mack, C., [Fundamental Principles of Optical Lithography], John Wiley & Sons Ltd., West Sussex, England, (2007). Proc. of SPIE Vol K-8

Additional evidence of EUV blank defects first seen by wafer printing

Additional evidence of EUV blank defects first seen by wafer printing Additional evidence of EUV blank defects first seen by wafer printing Rik Jonckheere, Dieter Van den Heuvel, Tristan Bret a, Thorsten Hofmann a, John Magana b, Israel Aharonson c, Doron Meshulach c, Eric

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper

Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Process Improvements for Ultra-Thick Photoresist Using a Broadband Stepper Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto ShinEtsuMicroSi, Inc. San Jose, CA 95112

More information

Using the Normalized Image Log-Slope

Using the Normalized Image Log-Slope T h e L i t h o g r a p h y E x p e r t (Winter 2001) Using the Normalized mage Log-Slope Chris A. Mack, FNLE Technologies, A Division of KLA-Tencor, Austin, Texas Projection imaging tools, such as scanners,

More information

Scanning Acoustic Microscopy Training

Scanning Acoustic Microscopy Training Scanning Acoustic Microscopy Training This presentation and images are copyrighted by Sonix, Inc. They may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Assessment of Camera Phone Distortion and Implications for Watermarking

Assessment of Camera Phone Distortion and Implications for Watermarking Assessment of Camera Phone Distortion and Implications for Watermarking Aparna Gurijala, Alastair Reed and Eric Evans Digimarc Corporation, 9405 SW Gemini Drive, Beaverton, OR 97008, USA 1. INTRODUCTION

More information

2. Simple Linear Regression

2. Simple Linear Regression Research methods - II 3 2. Simple Linear Regression Simple linear regression is a technique in parametric statistics that is commonly used for analyzing mean response of a variable Y which changes according

More information

How To Run Statistical Tests in Excel

How To Run Statistical Tests in Excel How To Run Statistical Tests in Excel Microsoft Excel is your best tool for storing and manipulating data, calculating basic descriptive statistics such as means and standard deviations, and conducting

More information

A Game of Numbers (Understanding Directivity Specifications)

A Game of Numbers (Understanding Directivity Specifications) A Game of Numbers (Understanding Directivity Specifications) José (Joe) Brusi, Brusi Acoustical Consulting Loudspeaker directivity is expressed in many different ways on specification sheets and marketing

More information

Acceleration Introduction: Objectives: Methods:

Acceleration Introduction: Objectives: Methods: Acceleration Introduction: Acceleration is defined as the rate of change of velocity with respect to time, thus the concepts of velocity also apply to acceleration. In the velocity-time graph, acceleration

More information

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

Plastic Film Texture Measurement With 3D Profilometry

Plastic Film Texture Measurement With 3D Profilometry Plastic Film Texture Measurement With 3D Profilometry Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm URN (Paper): urn:nbn:de:gbv:ilm1-2014iwk-199:0 58 th ILMENAU SCIENTIFIC COLLOQUIUM Technische Universität Ilmenau, 08 12 September 2014 URN: urn:nbn:de:gbv:ilm1-2014iwk:3 DETECTION OF SUBSURFACE DAMAGE

More information

Application Report: Running µshape TM on a VF-20 Interferometer

Application Report: Running µshape TM on a VF-20 Interferometer : Running µshape TM on a VF-20 Interferometer General This report describes how a fiber interferometer from Arden Photonics Ltd was used together with the µshape TM Generic software package. The VF-20

More information

A Color Placement Support System for Visualization Designs Based on Subjective Color Balance

A Color Placement Support System for Visualization Designs Based on Subjective Color Balance A Color Placement Support System for Visualization Designs Based on Subjective Color Balance Eric Cooper and Katsuari Kamei College of Information Science and Engineering Ritsumeikan University Abstract:

More information

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters

International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in Office Applications Light Measurement & Quality Parameters www.led-professional.com ISSN 1993-890X Trends & Technologies for Future Lighting Solutions ReviewJan/Feb 2015 Issue LpR 47 International Year of Light 2015 Tech-Talks BREGENZ: Mehmet Arik Well-Being in

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Design of Experiments (DOE) Tutorial

Design of Experiments (DOE) Tutorial Design of Experiments (DOE) Tutorial Design of Experiments (DOE) techniques enables designers to determine simultaneously the individual and interactive effects of many factors that could affect the output

More information

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Trieste INFN/TC-2/7 1 aprile 22 A novel scheme for the integrated voltage divider of Silicon Drift Detectors P. Burger 1, C. Piemonte 2, A. Rashevsky 3,

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

EC247 FINANCIAL INSTRUMENTS AND CAPITAL MARKETS TERM PAPER

EC247 FINANCIAL INSTRUMENTS AND CAPITAL MARKETS TERM PAPER EC247 FINANCIAL INSTRUMENTS AND CAPITAL MARKETS TERM PAPER NAME: IOANNA KOULLOUROU REG. NUMBER: 1004216 1 Term Paper Title: Explain what is meant by the term structure of interest rates. Critically evaluate

More information

Calibration of a High Dynamic Range, Low Light Level Visible Source

Calibration of a High Dynamic Range, Low Light Level Visible Source Calibration of a High Dynamic Range, Low Light Level Visible Source Joe LaVeigne a, Todd Szarlan a, Nate Radtke a a Santa Barbara Infrared, Inc., 30 S. Calle Cesar Chavez, #D, Santa Barbara, CA 93103 ABSTRACT

More information

GEOENGINE MSc in Geomatics Engineering (Master Thesis) Anamelechi, Falasy Ebere

GEOENGINE MSc in Geomatics Engineering (Master Thesis) Anamelechi, Falasy Ebere Master s Thesis: ANAMELECHI, FALASY EBERE Analysis of a Raster DEM Creation for a Farm Management Information System based on GNSS and Total Station Coordinates Duration of the Thesis: 6 Months Completion

More information

Motion Graphs. It is said that a picture is worth a thousand words. The same can be said for a graph.

Motion Graphs. It is said that a picture is worth a thousand words. The same can be said for a graph. Motion Graphs It is said that a picture is worth a thousand words. The same can be said for a graph. Once you learn to read the graphs of the motion of objects, you can tell at a glance if the object in

More information

Reflection and Refraction

Reflection and Refraction Equipment Reflection and Refraction Acrylic block set, plane-concave-convex universal mirror, cork board, cork board stand, pins, flashlight, protractor, ruler, mirror worksheet, rectangular block worksheet,

More information

Analytical Test Method Validation Report Template

Analytical Test Method Validation Report Template Analytical Test Method Validation Report Template 1. Purpose The purpose of this Validation Summary Report is to summarize the finding of the validation of test method Determination of, following Validation

More information

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory

Scanning He + Ion Beam Microscopy and Metrology. David C Joy University of Tennessee, and Oak Ridge National Laboratory Scanning He + Ion Beam Microscopy and Metrology David C Joy University of Tennessee, and Oak Ridge National Laboratory The CD-SEM For thirty years the CD-SEM has been the tool for metrology But now, as

More information

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners

Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Correcting the Lateral Response Artifact in Radiochromic Film Images from Flatbed Scanners Background The lateral response artifact (LRA) in radiochromic film images from flatbed scanners was first pointed

More information

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications

Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Characterization of an Ultra-Thick Positive Photoresist for Electroplating Applications Warren W. Flack, Ha-Ai Nguyen Ultratech Stepper, Inc. San Jose, CA 95134 Elliott Capsuto Shin-Etsu MicroSi, Inc.

More information

AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS

AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS Cativa Tolosa, S. and Marajofsky, A. Comisión Nacional de Energía Atómica Abstract In the manufacturing control of Fuel

More information

Experiment #1, Analyze Data using Excel, Calculator and Graphs.

Experiment #1, Analyze Data using Excel, Calculator and Graphs. Physics 182 - Fall 2014 - Experiment #1 1 Experiment #1, Analyze Data using Excel, Calculator and Graphs. 1 Purpose (5 Points, Including Title. Points apply to your lab report.) Before we start measuring

More information

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Toru Suzuki* a, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Masashi Shinbori**, Masaya Yoshino**,

More information

AP Physics 1 and 2 Lab Investigations

AP Physics 1 and 2 Lab Investigations AP Physics 1 and 2 Lab Investigations Student Guide to Data Analysis New York, NY. College Board, Advanced Placement, Advanced Placement Program, AP, AP Central, and the acorn logo are registered trademarks

More information

Scanners and How to Use Them

Scanners and How to Use Them Written by Jonathan Sachs Copyright 1996-1999 Digital Light & Color Introduction A scanner is a device that converts images to a digital file you can use with your computer. There are many different types

More information

Maximum Range Explained range Figure 1 Figure 1: Trajectory Plot for Angled-Launched Projectiles Table 1

Maximum Range Explained range Figure 1 Figure 1: Trajectory Plot for Angled-Launched Projectiles Table 1 Maximum Range Explained A projectile is an airborne object that is under the sole influence of gravity. As it rises and falls, air resistance has a negligible effect. The distance traveled horizontally

More information

Acoustic GHz-Microscopy: Potential, Challenges and Applications

Acoustic GHz-Microscopy: Potential, Challenges and Applications Acoustic GHz-Microscopy: Potential, Challenges and Applications A Joint Development of PVA TePLa Analytical Systems GmbH and Fraunhofer IWM-Halle Dr. Sebastian Brand (Ph.D.) Fraunhofer CAM Fraunhofer Institute

More information

AxioCam MR The All-round Camera for Biology, Medicine and Materials Analysis Digital Documentation in Microscopy

AxioCam MR The All-round Camera for Biology, Medicine and Materials Analysis Digital Documentation in Microscopy Microscopy from Carl Zeiss AxioCam MR The All-round Camera for Biology, Medicine and Materials Analysis Digital Documentation in Microscopy New Dimensions in Performance AxioCam MR from Carl Zeiss Both

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

Software Development and Testing: A System Dynamics Simulation and Modeling Approach

Software Development and Testing: A System Dynamics Simulation and Modeling Approach Software Development and Testing: A System Dynamics Simulation and Modeling Approach KUMAR SAURABH IBM India Pvt. Ltd. SA-2, Bannerghatta Road, Bangalore. Pin- 560078 INDIA. Email: ksaurab5@in.ibm.com,

More information

PLOTTING DATA AND INTERPRETING GRAPHS

PLOTTING DATA AND INTERPRETING GRAPHS PLOTTING DATA AND INTERPRETING GRAPHS Fundamentals of Graphing One of the most important sets of skills in science and mathematics is the ability to construct graphs and to interpret the information they

More information

Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated testing of square and round bars

Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated testing of square and round bars 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated

More information

Step-by-Step Analytical Methods Validation and Protocol in the Quality System Compliance Industry

Step-by-Step Analytical Methods Validation and Protocol in the Quality System Compliance Industry Step-by-Step Analytical Methods Validation and Protocol in the Quality System Compliance Industry BY GHULAM A. SHABIR Introduction Methods Validation: Establishing documented evidence that provides a high

More information

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft

Calibration of AFM with virtual standards; robust, versatile and accurate. Richard Koops VSL Dutch Metrology Institute Delft Calibration of AFM with virtual standards; robust, versatile and accurate Richard Koops VSL Dutch Metrology Institute Delft 19-11-2015 VSL Dutch Metrology Institute VSL is the national metrology institute

More information

Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes:

Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes: What is AOI? Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes: Test methods for electronic assemblies: - FT (Functional

More information

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging

Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Optimization of Photosensitive Polyimide Process for Cost Effective Packaging Peter Cheang, Lorna Christensen, Corinne Reynaga Ultratech Stepper, Inc. San Jose, CA 95134 Recent developments in the use

More information

Index-Velocity Rating Development for Rapidly Changing Flows in an Irrigation Canal Using Broadband StreamPro ADCP and ChannelMaster H-ADCP

Index-Velocity Rating Development for Rapidly Changing Flows in an Irrigation Canal Using Broadband StreamPro ADCP and ChannelMaster H-ADCP Index-Velocity Rating Development for Rapidly Changing Flows in an Irrigation Canal Using Broadband StreamPro ADCP and ChannelMaster H-ADCP HENING HUANG, RD Instruments, 9855 Businesspark Avenue, San Diego,

More information

Correlation key concepts:

Correlation key concepts: CORRELATION Correlation key concepts: Types of correlation Methods of studying correlation a) Scatter diagram b) Karl pearson s coefficient of correlation c) Spearman s Rank correlation coefficient d)

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Session 7 Bivariate Data and Analysis

Session 7 Bivariate Data and Analysis Session 7 Bivariate Data and Analysis Key Terms for This Session Previously Introduced mean standard deviation New in This Session association bivariate analysis contingency table co-variation least squares

More information

Chapter 6: Constructing and Interpreting Graphic Displays of Behavioral Data

Chapter 6: Constructing and Interpreting Graphic Displays of Behavioral Data Chapter 6: Constructing and Interpreting Graphic Displays of Behavioral Data Chapter Focus Questions What are the benefits of graphic display and visual analysis of behavioral data? What are the fundamental

More information

A Determination of g, the Acceleration Due to Gravity, from Newton's Laws of Motion

A Determination of g, the Acceleration Due to Gravity, from Newton's Laws of Motion A Determination of g, the Acceleration Due to Gravity, from Newton's Laws of Motion Objective In the experiment you will determine the cart acceleration, a, and the friction force, f, experimentally for

More information

Fault Slip Through Measurement in Software Development Process

Fault Slip Through Measurement in Software Development Process Fault Slip Through Measurement in Software Development Process Denis Duka, Lovre Hribar Research and Development Center Ericsson Nikola Tesla Split, Croatia denis.duka@ericsson.com; lovre.hribar@ericsson.com

More information

WHITE PAPER. Are More Pixels Better? www.basler-ipcam.com. Resolution Does it Really Matter?

WHITE PAPER. Are More Pixels Better? www.basler-ipcam.com. Resolution Does it Really Matter? WHITE PAPER www.basler-ipcam.com Are More Pixels Better? The most frequently asked question when buying a new digital security camera is, What resolution does the camera provide? The resolution is indeed

More information

The Optimization and Characterization of Ultra-Thick Photoresist Films

The Optimization and Characterization of Ultra-Thick Photoresist Films The Optimization and Characterization of Ultra-Thick Photoresist Films Warren W. Flack, Warren P. Fan, Sylvia White Ultratech Stepper, Inc. San Jose, CA 95134 There are an increasing number of advanced

More information

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5

FREE FALL. Introduction. Reference Young and Freedman, University Physics, 12 th Edition: Chapter 2, section 2.5 Physics 161 FREE FALL Introduction This experiment is designed to study the motion of an object that is accelerated by the force of gravity. It also serves as an introduction to the data analysis capabilities

More information

Scatter Plot, Correlation, and Regression on the TI-83/84

Scatter Plot, Correlation, and Regression on the TI-83/84 Scatter Plot, Correlation, and Regression on the TI-83/84 Summary: When you have a set of (x,y) data points and want to find the best equation to describe them, you are performing a regression. This page

More information

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5

Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5 ISMI2015, Oct. 16-18, 2015 KAIST, Daejeon, South Korea Big Data Analytics and Decision Analysis for Manufacturing Intelligence to Empower Industry 3.5 Tsinghua Chair Professor Chen-Fu Chien, Ph.D. Department

More information

http://dx.doi.org/10.1117/12.906346

http://dx.doi.org/10.1117/12.906346 Stephanie Fullerton ; Keith Bennett ; Eiji Toda and Teruo Takahashi "Camera simulation engine enables efficient system optimization for super-resolution imaging", Proc. SPIE 8228, Single Molecule Spectroscopy

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

Modelling, Extraction and Description of Intrinsic Cues of High Resolution Satellite Images: Independent Component Analysis based approaches

Modelling, Extraction and Description of Intrinsic Cues of High Resolution Satellite Images: Independent Component Analysis based approaches Modelling, Extraction and Description of Intrinsic Cues of High Resolution Satellite Images: Independent Component Analysis based approaches PhD Thesis by Payam Birjandi Director: Prof. Mihai Datcu Problematic

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

SPI HS70. Remote Control of Multiple Lines with RMCworks. Systematic Process Management by Inspection Spec Server

SPI HS70. Remote Control of Multiple Lines with RMCworks. Systematic Process Management by Inspection Spec Server SPI HS70 Remote Control of Multiple Lines with RMCworks Machine Status Monitoring It costs highly to post process analysis technicians for each production line. RMCworks provides solution that one technical

More information

Chem 131A: Absorbance of Riboflavin

Chem 131A: Absorbance of Riboflavin Chem 131A: Absorbance of Riboflavin Purpose: The purpose of this experiment is to: 1) Familiarize the student with the use of the HP 8452 diode array spectrophotometer, 2) examine the limitations of the

More information

Lenses and Apertures of A TEM

Lenses and Apertures of A TEM Instructor: Dr. C.Wang EMA 6518 Course Presentation Lenses and Apertures of A TEM Group Member: Anup Kr. Keshri Srikanth Korla Sushma Amruthaluri Venkata Pasumarthi Xudong Chen Outline Electron Optics

More information

Barcode positioning systems BPS 8, BPS 34/37 Innovations that truly move you forwards.

Barcode positioning systems BPS 8, BPS 34/37 Innovations that truly move you forwards. Barcode positioning systems BPS 8, BPS 34/37 Innovations that truly move you forwards. PRODUCT INFORMATION Flexible, tolerant and millimetre precision. The Leuze electronic barcode positioning system.

More information

Agility, Uncertainty, and Software Project Estimation Todd Little, Landmark Graphics

Agility, Uncertainty, and Software Project Estimation Todd Little, Landmark Graphics Agility, Uncertainty, and Software Project Estimation Todd Little, Landmark Graphics Summary Prior studies in software development project estimation have demonstrated large variations in the estimated

More information

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions Scanning Surface Inspection System with -review SEM and Analysis System Solutions 78 Scanning Surface Inspection System with -review SEM and Analysis System Solutions Hideo Ota Masayuki Hachiya Yoji Ichiyasu

More information

Developments in Photoluminescence Characterisation for Silicon PV

Developments in Photoluminescence Characterisation for Silicon PV Developments in Photoluminescence Characterisation for Silicon PV School of Photovoltaic and Solar Energy Engineering Bernhard Mitchell 1, Thorsten Trupke 1,2, Jürgen W. Weber 2, Johannes Greulich 3, Matthias

More information

Manual for simulation of EB processing. Software ModeRTL

Manual for simulation of EB processing. Software ModeRTL 1 Manual for simulation of EB processing Software ModeRTL How to get results. Software ModeRTL. Software ModeRTL consists of five thematic modules and service blocks. (See Fig.1). Analytic module is intended

More information

Copyright 2010-2012 PEOPLECERT Int. Ltd and IASSC

Copyright 2010-2012 PEOPLECERT Int. Ltd and IASSC PEOPLECERT - Personnel Certification Body 3 Korai st., 105 64 Athens, Greece, Tel.: +30 210 372 9100, Fax: +30 210 372 9101, e-mail: info@peoplecert.org, www.peoplecert.org Copyright 2010-2012 PEOPLECERT

More information

Resolution for Color photography

Resolution for Color photography Resolution for Color photography Paul M. Hubel a and Markus Bautsch b a Foveon, Inc., 282 San Tomas Expressway, Santa Clara, CA, USA 955; b Stiftung Warentest, Luetzowplatz -3, D-785 Berlin-Tiergarten,

More information

Relationships Among Software Metrics in Benchmarking

Relationships Among Software Metrics in Benchmarking Relationships Among Software Metrics in Benchmarking Scott Goldfarb Q/P Management Group, Inc. 10 Bow Street Stoneham, MA 02180 Tel: (781) 438-2692 www.qpmg.com Agenda Introduction and Background Trends

More information

Simple Predictive Analytics Curtis Seare

Simple Predictive Analytics Curtis Seare Using Excel to Solve Business Problems: Simple Predictive Analytics Curtis Seare Copyright: Vault Analytics July 2010 Contents Section I: Background Information Why use Predictive Analytics? How to use

More information

Low-Level Contact Resistance Characterization

Low-Level Contact Resistance Characterization Low-Level Contact Resistance Characterization Edward M. Bock, Jr. AMP Incorporated ABSTRACT Contact resistance has been characterized on a number of metal finishes at low values of current/voltage. This

More information

2-1 Position, Displacement, and Distance

2-1 Position, Displacement, and Distance 2-1 Position, Displacement, and Distance In describing an object s motion, we should first talk about position where is the object? A position is a vector because it has both a magnitude and a direction:

More information

Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs

Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs Improved predictive modeling of white LEDs with accurate luminescence simulation and practical inputs TracePro Opto-Mechanical Design Software s Fluorescence Property Utility TracePro s Fluorescence Property

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Microsoft Research WorldWide Telescope Multi-Channel Dome/Frustum Setup Guide

Microsoft Research WorldWide Telescope Multi-Channel Dome/Frustum Setup Guide Microsoft Research WorldWide Telescope Multi-Channel Dome/Frustum Setup Guide Prepared by Beau Guest & Doug Roberts Rev. 1.4, October 2013 Introduction Microsoft Research WorldWide Telescope (WWT) is a

More information

Thermopile Support: FAQs, Troubleshooting, Care & Maintenance

Thermopile Support: FAQs, Troubleshooting, Care & Maintenance Thermopile Support: FQs, Troubleshooting, Care & Maintenance Table of Contents 1. Introduction 2. How long does a thermopile sensor last and how accurate does it remain over time? 3. What are typical causes

More information

Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system

Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system 1 Integration of a passive micro-mechanical infrared sensor package with a commercial smartphone camera system Nathan Eigenfeld Abstract This report presents an integration plan for a passive micro-mechanical

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

Using Excel (Microsoft Office 2007 Version) for Graphical Analysis of Data

Using Excel (Microsoft Office 2007 Version) for Graphical Analysis of Data Using Excel (Microsoft Office 2007 Version) for Graphical Analysis of Data Introduction In several upcoming labs, a primary goal will be to determine the mathematical relationship between two variable

More information

Direct and Reflected: Understanding the Truth with Y-S 3

Direct and Reflected: Understanding the Truth with Y-S 3 Direct and Reflected: Understanding the Truth with Y-S 3 -Speaker System Design Guide- December 2008 2008 Yamaha Corporation 1 Introduction Y-S 3 is a speaker system design software application. It is

More information

VOLATILITY AND DEVIATION OF DISTRIBUTED SOLAR

VOLATILITY AND DEVIATION OF DISTRIBUTED SOLAR VOLATILITY AND DEVIATION OF DISTRIBUTED SOLAR Andrew Goldstein Yale University 68 High Street New Haven, CT 06511 andrew.goldstein@yale.edu Alexander Thornton Shawn Kerrigan Locus Energy 657 Mission St.

More information

High-Performance Wavelength-Locked Diode Lasers

High-Performance Wavelength-Locked Diode Lasers Copyright 29 Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the SPIE Photonics West 29, Vol. 7198-38 (29), High-Power Diode Laser Technology and High-Performance

More information

Why use ColorGauge Micro Analyzer with the Micro and Nano Targets?

Why use ColorGauge Micro Analyzer with the Micro and Nano Targets? Image Science Associates introduces a new system to analyze images captured with our 30 patch Micro and Nano targets. Designed for customers who require consistent image quality, the ColorGauge Micro Analyzer

More information

Testing a Handprint Data Capture System (with Two Different Form Designs)

Testing a Handprint Data Capture System (with Two Different Form Designs) Testing a Handprint Data Capture System (with Two Different Form Designs) A paper written for the Business Forms Management Association, Inc. BFMA 38 th International Symposium May 4-8, 2008 K. Bradley

More information