Micro Structure Observation and Reliability Behavior of Peripheral Flip Chip Interconnections with Solder-Capped Cu Pillar Bumps

Size: px
Start display at page:

Download "Micro Structure Observation and Reliability Behavior of Peripheral Flip Chip Interconnections with Solder-Capped Cu Pillar Bumps"

Transcription

1 Orii et al.: Micro Structure Observation and Reliability Behavior (1/14) [Technical Paper] Micro Structure Observation and Reliability Behavior of Peripheral Flip Chip Interconnections with Solder-Capped Cu Pillar Bumps Yasumitsu Orii*, Kazushige Toriyama*, Sayuri Kohara*, Hirokazu Noma*, Keishi Okamoto*, Daisuke Toyoshima**, and Keisuke Uenishi** *IBM Research Tokyo, , Shimotsuruma, Yamato-city, Kanagawa-ken , Japan **Osaka University, 2-1, Yamadaoka, Suita, Osaka , Japan (Received September 1, 2011; accepted November 10, 2011) Abstract PoP (Package on Package) structures have been used widely in digital consumer electronics products such as digital still cameras and mobile phones. However, the final stack height from the top to the bottom package for these structures is higher than that of the current stacked die packages. To reduce the height of the package, a flip chip technology is used. Since the logic chips of mobile applications use a pad pitch of less than 80 μm or less, an ultra-fine-pitch flip chip interconnection technique is required. The C4 (Controlled Collapse Chip Connection) flip chip technology is widely used in area array flip chip packages. The C4 was named after the four initial characters which are C of Controlled Collapse Chip Connection. The collapse of the molten solder is controlled by the individual opening of solder resist on each pad on the substrate so that the chip can be connected onto the substrate. However, C4 is not suitable in the ultra-fine-pitch flip chips because the such a individual opening which is suitable for the ultra-fine-pitch cannot be made on the substraete. Instead of the C4 flip chip technology, the new interconnection technique was developed using the solder capped Cu pillar bumps. It is very easy to control the space between the die and the substrate by adjusting the Cu pillar height even when a large slit window opening exists on a group of pads on the substrate. Since the collapse control of the solder bumps is not necessary, we call the process C2 (Chip Connection). The C2 was named after the two initial characters which are C of Chip Connection. The solder capped Cu pillar bumps are connected to Cu substrate pads, which are a surface treated with OSP (Organic Solder Preservative), by reflow with no-clean processes. This technology creates the SMT (Surface Mount Technology)/flip chip hybrid assembly for SoP (System on Package) use. We have produced 50 μm pitch interconnections and observed the micro structure and tested their reliability. Some voids in the solder joint were observed after the reflow process. The results of warpage measurements and FEM (Finite Element Method) analyses suggest that these voids are the shrinkage voids caused by the wide temperature range of the solder liquid phase and the substrate warpage. Since they are not the stress induced voids, they didn t affect the reliability test. The increase in interconnection resistance during the reliability test was compared between the C2 interconnection and Au stud-solder interconnection. Since the resistance increase of the C2 interconnection is much smaller than that for the Au stud-solder interconnection, it is clear that the C2 flip chip technology provides robust solder connections at low cost. Also the C2 structure with a low-k device was evaluated and no failures were observed at 1,500 cycles in the TC (Thermal Cycle) test. In addition to the fine-pitch interconnections, a die thickness of 70 μm is required to reduce the final stack height. The reliability performance of the C2 flip chip with the die thicknesses 20 μm, 70 μm and 150 μm was also discussed using a PEG (Post- Encapsulation Grinding) method in which the die is ground to less than 70 μm after joining and underfilling. Finally the electromigration tests were performed on the 80 μm pitch C2 interconnection. The tests showed that the solder capped Cu pillar structure has high endurance against electromigration and no failure data was recorded up to 1,000 hrs with several electromigration conditions regardless the direction of electron flow. Keywords: Flip Chip, Cu Pillar, Shrinkage, Thin Die, Electromigration, Low-k, IMC 73

2 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, Introduction PoP[1] is an emerging technology intended to replace the wire-bonding stacked die technology.[2] It has been widely used in digital consumer electronics products such as digital still cameras and mobile phones. One of the disadvantages of PoP is that the final stack-up-height, from the top to the bottom of the package, is greater than that for the stacked die packages. For this reason, Flip-Chip- PoP, in which the flip chip technology is used for the bottom package, became popular. Since the die of the bottom packages in PoP is designed for the wire-bonding technology, the I/O pads are located on the periphery of the die with a fine-pitch, such as 80 μm or less. A number of flip chip interconnection methods are available in the industry, but only few technologies are dedicated for ultra-fine-pitch flip chips on organic substrates. The technology widely used in Japan for digital still cameras and mobile phones is Au stud-solder interconnections.[3] However, this technology is high-cost, low-throughput and not SMT compatible. There are three known drawbacks for this technology. One of the drawbacks being the need for the pre-formed Sn-Ag solder on the Cu pads of the substrates. This increases the manufacturing costs and results in a large variation in solder heights, since the solder heights are determined by the Cu pad widths. The technology has a long processing time due to the thermal compression bonding performed for each die. The bonding also requires an expensive equipment. The other drawback is the narrow process margin due to the creation of complicated IMC (Intermetallic Compounds) which increases the interconnection resistance. These drawbacks inhibit the expanded use of the flip chip infrastructures. A new technology is needed to extend the standard C4 technology that was introduced by IBM in the early 1960s for its SLC (Solid Logic Technology). The C4 technology is a high-throughput flip chip technology and is still widely used for CPUs in PCs and games. However, this technology is normally used for the systems having bump pitch of greater than 150 μm and is not suited for the fine-pitch applications. In the fine-pitch systems, the space between the die and the substrate becomes narrow which can cause solder bridges in the C4 technology because of the collapsing of the bumps. The underfill insertion is also difficult for narrow spaces. Figure 1 shows a solder-resist opening for flip chip pads. The individual window design helps control the collapse of the solder bumps during reflow, but this design cannot be used for fine-pitch systems because of the alignment limitation in organic sub- Fig. 1 Solder-resist opening designs for flip chip pads. Fig. 2 C2 bump structure. strate manufacturing. Since the slit window design in organic substrates must be used for fine-pitch flip chip interconnections, it is difficult to control the collapse of the standard C4 solder bumps. As the space between bumps narrows, the possibility of solder bridges increases. To address these problems, we developed a new interconnection method, C2.[4, 5] Figure 2 shows the C2 bump structure. This structure is based on electroplated Cu pillar bumps and Sn-Ag solder. The use of the Cu pillars makes the C2 technology suitable for ultra-fine-pitch flip chip interconnections: less control of the collapse of the solder bumps is required as compared to the C4 process. The C2 is a mount-and-reflow method with non-clean process. It thus has a short processing time and does not require any expensive equipment or the pre-solder on organic substrates. It uses Al pads designed for wire-bonding methods so that one can make the most of the existing infrastructure. The C2 structure is prepared as follows. The bump processing cleans the Al pads with back-sputtering and sputtering of a plating base of Ti and Cu as the UBM (Under Bump Metal). The photo-resist is applied, exposed, and developed. Next, Cu pillars are electroplated, followed by Sn-Ag solder electroplating, and then the photo-resist is removed. Finally the plated base is selectively removed. Cu pillars do not melt during reflow, so the spaces between the die and the substrate is maintained. The process flow is very simple. First, a special flux which does not need to be cleaned away afterwards, is applied to the die or the substrate. Then the die with the solder-capped Cu pillar bumps is aligned with the substrate, and the solder joints are all formed simultaneously using reflow, similar to the standard C4 and SMT process. This means that the flip 74

3 Orii et al.: Micro Structure Observation and Reliability Behavior (3/14) Table 1 Comparison of fine-pitch flip chip interconnections. C2 Interconnection Au stud-solder interconnection Bumping Process Solder capped Cu pillar bump Electro-Plating on Wafer (Parallel Bumping) Au stud bump Au wire ball bonding on Die or Wafer (Serial Bumping) Solder Pre-coat on Carrier No Required Required Flip Chip Interconnection Method Pick & Place + Reflow Thermal Compression Bonding Productivity High (Short Process Time) Low (Long Process Time) Solder Wet-ability Required Flux for Good Wetting Good Wetting Without Flux Interconnect ion Solder Features Cu, Sn-Ag (Slow IMC (Sn-Cu) Growth Rate) - Wafer level operation - One time reflow for flip chip & SMD - Less capital investment - High joint reliability Au, Sn-Ag (Fast IMC (Au-Sn) Growth Rate) - Non necessity of Wafer level operation (diced chip can be handles) chip die and SMT component joints are formed in a single reflow step. After a flip chip bonding, a flux cleaning process is not required. And then the underfill is applied by capillary action. No additional pre-soldering of the substrate is required and the process is SMT compatible, so this C2 approach is less expensive than existing methods. The process time of the flip chip bonding is less than 2 seconds including the alignment and mounting. This is much shorter than for Au stud-solder method and reduces the equipment costs. Table 1 shows the pros and cons of the C2 and Au stud-solder interconnections. 2. Experimental 2.1 Test vehicle description Test vehicle description for C2 interconnection Test vehicle chips with two different pitches are prepared: 80 μm and 50 μm. The numbers of bumps are 328 and 544, respectively. The test vehicle chips can be used for both TC tests and THB (Thermal Humidity Bias) tests. We designed two types of test vehicle substrates for each test vehicle chip. One type is for TC tests, and the other type for THB tests. The sizes of dies for both 80 μm and 50 μm are 7.3 mm square. The die has one Al metal layer and SiO/SiN passivation and PI coated with non low-k insulator. The UBM is sputtered on the silicon wafer and then the photo-resist is applied, exposed, and developed to create the UBM opening within the underlying aluminum pads. After this, copper followed by Sn-2.5Ag solder are Fig. 3 SEM image of 50 um pitch bumps. electroplated and the photo-resist is stripped. The UBM is selectively removed. And the wafer goes through a final reflow and flux cleaning process to form the final solder capped Cu pillar bump structure. A SEM (Scanning Electron Microscope) photo of the bumps is shown in Fig. 3. The copper pillar height and solder height of C2 bumps are 45 μm and 25 μm on 80 μm pitch test vehicle chip and 33 μm and 20 μm on 50 μm test vehicle chip. The test vehicle substrates for the evaluation are 20 mm square size and 310 μm thickness (4 layers of laminated prepreg). A flip chip can be mounted in the center, and PoP pads (0.5 mm pitch) are located around the flip chip as shown in Fig. 4(a). Solder-resist is opened in the peripheral I/O region of the die as shown in Fig. 4(b). OSP is applied to the exposed Cu trace lines to prevent oxidation of Cu. In addition to non low-k device test die, the low-k device test die was prepared. The low-k device test die is 6.1 mm square with the C2 bumps. Each C2 bump consists of a Cu pillar (25 μm height) and Sn-2.5Ag solder (20 μm height) with 560 staggered bumps in a 60 μm pitch peripheral layout. 75

4 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 Fig. 4(a) Photo of organic substrate. Fig. 4(b) Enlarged photo of the edge of the flip chip area of 80 um pitch and 50 um pitch pads. Fig. 5 Process flow of C2. The test vehicle substrate for the evaluation is 12.8 mm square and 330 μm thickness (4 layers of laminated prepreg). The test vehicle fabrication flow is shown in Fig. 5. At first, non-clean flux is applied on either die or substrate. And then solder capped Cu pillar bumps are aligned to Cu trace lines on the organic substrate. And all solder joints are made simultaneously by reflow. Finally, a capillary underfill resin is filled and cured Test vehicle description for Au stud-solder interconnection To compare the interconnect resistance variation of the C2 samples and Au stud-solder samples during a very long TC test, Au stud-solder samples were also prepared. The same test vehicle chips are used. Au stud bumps are cone shaped and are formed using Pd 1.0 mass% included Au wire by conventional Au wire ball bonding technology on Al pads as shown in Fig. 6. The test vehicle substrates for the Au stud bumps are also same as those for the C2 interconnection except a surface finish of the flip chip pads which were pre-solder coated (Sn-3.5Ag). The test vehicle fabrication flow is shown in Fig. 7. Au stud bumps are interconnected to the flip chip pads on the substrate,which are pre-coated with Sn-3.5Ag solder, using the thermal-compression bonding technique. Then a capillary underfill resin is filled and cured. 2.2 Die thinning process for C2 Improved wafer thinning is making semiconductor packages thinner. In recent years, by using the wafer back side grinding process and WSS (Wafer Support Systems), the wafers in the semiconductor packages can be thinned Fig. 6 Au stud bump fabrication. Fig. 7 Au stud-solder interconnection technology. 76

5 Orii et al.: Micro Structure Observation and Reliability Behavior (5/14) down to 100 μm or less. The main problem of thinned wafer is its brittleness. To reduce the breakage of silicon wafers, the various stress relief methods are used, such as dry polishing, plasma treatments, and CMP (Chemical Mechanical Polishing). The stress relieving methods and a WSS are needed for any ultra-thin-die flip chip technology. However, thin dies are fragile and easily cracked even with those measures. To solve those issues, PEG which is a method to grind the die after the flip chip bonding and the underfill insertion was developed.[6, 7] PEG involves the grinding of the bare die bonded to an organic substrate with the underfill layer. The presence of the underfill layer reduces the number of dies that crack during handling. In addition, no backside grinding of the wafers are needed. The flip chip assembled packages with underfill layers are fixed on a tape that is used for back side grinding as shown in Fig. 8. Figure 9 shows 90 packages attached to a tape. The tape is placed on the platform of the grinding machine in a vacuum, and then the dies are thinned. Stress relieving measures can be used as needed by techniques mentioned earlier. Then the packages are detached from the tape. Table 2 shows the silicon thicknesses after PEG processing. The results before process improvement show a large variation in thickness. Since the accuracy of the grinding tool itself is under few microns, the thickness variations are likely caused by the substrate thickness variations and tape deformations from the pressure of the grinder during the thinning. This method is strongly dependent on the substrate thickness variation. Normally the substrate thickness variation is ±10% of the total thickness. For a 300 μm-thick substrate, the variation is ±30 μm. It is clear that this method does not work for ultrathin die of thickness less than 100 μm. In order to improve the PEG process, the products are fixed to a glass plate with a liquid type adhesive, as shown in Fig. 10. Since the variation of the substrate thickness is absorbed by this liquid adhesive, the distance between the glass top and the die top surface of each product can be kept at the same value. Therefore the die thickness can be controlled very precisely. Also, this method can mask not only the variations of substrate thickness, but also the vari- Fig. 8 Schematic illustration of PEG process (a) before thinning and (b) after thinning. Fig. 10 Schematic illustration of variation of the silicon thickness improvement (a) before thinning and (b) after thinning. Fig. 9 Photograph of experiment sample after attached to grinding tape. Fig. 11 Cross-Section photograph of 20 μm silicon thickness flip chip interconnection. Table 2 Result of silicon thickness after PEG processing. Target Average Max. Min. Range Sigma 70 μm(*1) μm(*2) μm(*2) μm(*2) (*2) : After process improvement (*1) : Before process improvement 77

6 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 ations of joint height. The results of this improved method also appear in Table 2. As shown in the table, the span of the silicon thickness differences of 29 μm in the first experiment was reduced to 5.4 μm using this improved method. Figure 11 shows a cross-section of the 20 μm-thick silicon die flip chip interconnections. This technique was used to evaluate the C2 flip chip interconnection with ultra-thin die. 2.3 Reliability assessments After the assembly process, TC tests, THB tests, High Temperature Storage (HTS) tests, and electromigration (EM) reliability test were performed to evaluate the reliability of solder micro bump interconnection on an organic substrate. The conditions for a series of reliability tests are shown in Table 3. The test vehicles described in section 1 were used for the tests. Before performing these tests, the pre-conditioning tests of JEDEC Level-3 were performed (125 C bake for 24 hours, 30 C at 60%RH for 192 hours, and 3 times at 260 C peak reflow). The electromigration tests were performed using constant current power supplies. The test vehicle substrate shown in Fig. 12 has a daisy chain which contains an Al wiring on the die, a Cu wiring on the substrate, and the C2 flip chip connection between the die and the substrate. A DC current was applied at the ends of the daisy-chain as shown in Fig. 12. The test vehicles were placed into the ovens set at different temperatures during the test. A thermocouple was attached on the back side of the die to monitor the temperature of the die. The electrons flow alternately in the daisy chain (1) from the die to the substrate and (2) from the substrate to the die as shown in Fig. 13. Table 3 Reliability test conditions. Bump Pitch Die type Test conditions C2 Bump Au Stud 80 μm pitch 50 μm pitch 60 μm pitch 80 μm pitch 50 μm pitch Non Lowk Test Vehicle Lowk Test Vehicle Non Lowk Test Vehicle 40/+115 C, 2 cph (cycle per hour) TC (Thermal Cycle) 55/+125 C, 2 cph (cycle per hour) THB (Thermal Humidity Bias) 85 C/85%RH/5.5 V HTS (High Tempeature Storage) 150 C 7kA/cm 2, 125 C EM (Electromigration) 10kA/cm 2, 125 C TC (Thermal Cycle) 40/+115 C, 2 cph (cycle per hour) THB (Thermal Humidity Bias) 85 C/85%RH/3.7 V HTS (High Tempeature Storage) 150 C TC (Thermal Cycle) TC (Thermal Cycle) THB (Thermal Humidity Bias) TC (Thermal Cycle) THB (Thermal Humidity Bias) 55/+125 C, 2 cph (cycle per hour) 40/+115 C, 2 cph (cycle per hour) 85 C/85%RH/3.7 V 40/+115 C, 2 cph (cycle per hour) 85 C/85%RH/3.7 V Fig. 12 Electromigration test method. Fig. 13 Flow of electrons during the electromigration test. 78

7 Orii et al.: Micro Structure Observation and Reliability Behavior (7/14) 3. Results and Discussion 3.1 Solder joint observation at post reflow Fig. 14(a) shows the cross-section of the center-located solder capped Cu pillar interconnection on an organic substrate right after the underfilling process. EDX (Energy Dispersive X-ray spectroscopy) analysis of the IMCs formed on the Cu pillar side shows that the IMCs between the Cu pillar and the solder are Cu 3 Sn and Cu 6 Sn 5, Cu 3 Sn being next to the Cu pillar as shown in Fig. 14(b). The lay- Fig. 14(a) SEM images of solder joint in center location. ers of Cu 3 Sn and Cu 6 Sn 5 are also observed on the Cu pads on an organic substrate side, Cu 3 Sn situated next to the Cu pads as shown in Fig. 14(c). IMC layer thickness on the Cu pillar side is thicker than that in the Cu trace line on the substrate because the IMCs on the Cu pillar side went through one reflow process more than those on an organic substrate side during the wafer bumping process. Figure 15 shows the cross-section of the corner-location solder capped Cu pillar interconnection. Unlike the solder joint at the chip center, the large voids were observed in the joint at the chip corner. No such voids were observed in the joints at the chip center. The large voids are generated between the Cu 6 Sn 5 layer and the Sn solder. In order to understand the mechanism of the void formation, the solder joint deformation at the die center and the die edge are simulated by FEM. The model is shown in Fig. 16 and the material properties in FEM are listed in Table 4. The stress free temperature is assumed to be 220 C and the package in FEM model is subjected to a thermal loading from 220 C to 150 C. 220 C corresponds to the temperature around which the solder starts to solidify and 150 C corresponds to the temperature at which the solder completely solidifies considering the under-cooling at the Fig. 14(b) Cross-section of solder to Cu pillar. Fig. 15 SEM images of solder joint in corner location. Fig. 14(c) Cross-section of solder to substrate. Fig. 16 FEM model (2D). 79

8 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 microstructure level.[8] The simulated results of the deformation in the solder joint for different die thickness are shown in Fig. 17. The tensile solder deformation between the die and the laminate was observed. In the case of the die thickness is 725 μm, the simulated solder deformation is μm at the die center which had no void as shown in Fig. 14(a), and it is μm at the die corner which had some voids as shown in Fig. 15. There is μm solder deformation between the die center and the die corner in FEM model. But the size of the void at the die corner was about 3 um which is much larger than the simulated value(0.054 μm). So this result indicates the void formation is not directly attributed to the mechanical deformation. The warpage of three different substrates was measured Table 4 Material parameters in FEM. Young s modulus (GPa) Poisron ratio CTE (ppm) Si Cu Solder UF Laminate by DIC (Digital Image Correlation) system. Substrate A and B are built by the same manufacturer and Substrate C is built by the different one. The substrate edge is deformed with a rise in temperature as shown in the contour mapping (Fig. 18) and the warpage of substrate B is greater than that of substrate A. More voids in Substrate C were observed than in Substrate A and B. Figure 19 shows the warpage underneath the die from 220 C to 150 C. As a result of that, the displacement of Z-direction of substrate C chip edge was around 10 μm and that of substrate A was around 2 μm. 10 μm z-direction displacement is large and can cause voids in the solder joint. Even if the substrate manufacturer is the same, the displacement in the z-direction of substrate B was around 5 μm. It also might cause the voids in the solder. In addition to the observation with the different substrates, the voids were observed with a thick die and a thin die. It was found that the warpage for the thin die (10 μm thick) was much larger than that for the thick die (725 μm) and no voids were observed in the solder joints for the thin die. FEM result shows that the solder deformation for thin dies is much less than that for the thick die in good agreement with the experimental data. Considering the described experimental and FEM data, it is found that the voids are shrinkage voids which are formed during the solder reflow cooling process as shown in Fig. 20.[9] The expected mechanism for the formation of the shrinkage voids is as follows: the solder capped Cu pillar micro-solder joint has a wide temperature Fig. 17 Simulated solder deformation in Y direction. Fig. 19 Warpage underneath the die from 220 C to 150 C. Fig. 18 Contour mapping of each substrate. Fig. 20 Shrinkage voids. 80

9 Orii et al.: Micro Structure Observation and Reliability Behavior (9/14) range of liquid phase because of the under-cooling accelerated by the small size of the micro-solder joint. Solder capped Cu pillar joint was made by reflow and at the cooling process the shrinkage voids are expected to be formed by the substrate warpage during the wide range temperature at the liquid phase of the Sn-Ag-Cu solder joint and the volumetric contraction of the solder. As a result of the experimental data, the shrinkage voids are strongly dependent on the substrate warpage. 3.2 Reliability test results Long term thermal cycle test and high temperature storage test analysis A series of reliability tests results are shown in Table 5. At first the test results using the bare dies with a thickness of 725 μm (without back grinding) are described. Later we will discuss the reliability tests for the samples using ultrathin dies. All the tested C2 samples passed TC of 2,500 cycles, THB of 1,500 hours and HTS of 1,500 hours, as shown in Table 5 and no cracks of the dies or the underfill layers have been observed in the samples. The criteria of the resistance changing rate on TC/HTS for C2 samples is assumed +/ 10% from after post precon test, and the criteria of the insulation resistance on THB for C2 samples is assumed over 100 Mohm. After HTS of 2,000 hours, a thick Cu 3 Sn layer was observed as shown in Fig. 21. Even though some Kirkendall voids were observed between Cu and Cu 3 Sn on both the chip side and the substrate side, the number and size of the voids were so small that the Kirkendall voids were not connected. The stable resistance increase during the HTS test may be attributed to these Kirkendall voids, since the resistance increase due to the IMC growth is calculated to be very small.[10] We also performed TC test ( 55 C to +125 C 2 CPH) up to 2,500 cycles on 80 μm pitch test vehicle using substrates which had a large warpage to evaluate the effect of solder shrinkage on the reliability. Before performing these tests, pre-conditioning tests of JEDEC Level-3 were performed. The resistance increase during the test was within 1%. Some IMC growths were observed after the test, but the degree of solder shrinkage was almost identical before and after the reliability test as shown in Fig. 22. On the other hand, Fig. 23 shows the monitoring results of the increase in relative resistance after TC ( 40 C to +115 C 2 CPH) and HTS (150 C) reliability testing for Au stud-solder interconnections using Pd 1.0 mass% included Au wire. No open failure was observed until TC of up to Fig. 21 SEM images after HTS 2,000 hours. Fig. 22 SEM images after TC 2,750 cycles. Table 5 C2 reliability test conditions and results. 80 um pitch 50 um pitch TC THB Test conditions Lot-1 Lot-2 Lot-3 40/+115 C, 2 cph 85 C/85%RH/5.5 V HTS 150 C TC THB 40/+115 C, 2 cph 85 C/85%RH/3.7 V HTS 150 C 0/10 cycs 0/25 NG 0/10 cycs 0/10 NG 0/10 hours 0/10 cycs 0/10 NG 0/5 NG cycs 0/15 NG 0/15 NG 0/20 cycs 0/20 NG 0/25 NG 0/50 cycs 0/50 NG 0/35 NG 81

10 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, ,000 cycles and HTS of up to 2,000 hours, but the resistance of all samples were increased linearly, and the increase in relative resistance reached 40% after 1,000 hours. No significant differences between the 50 μm pitch samples and 80 μm pitch samples were detected. For the Au stud-solder interconnections, many complicated IMC layers were observed. These IMC layers are likely causing the increase in the electrical resistance. After the HTS test, many Kirkendall voids were observed in the joints at the boundary between Al and Au layers as shown in Fig. 24. The corn shape Au studs have been observed before starting the reliability test. Few Kirkendall voids were also observed at the interface between Al and Au or solder before test. The shape of the Au stud was no longer corn shaped after 1,000 hours of the HTS test. The Au stud appears to be diffused away during the high temperature storage. The small voids at the interface between Al and Au or solder, connected together and created a crack like void whose length is over 80% of the joint length during the 1,500 hours of HTS test. Some reliability concerns on the packages using Au stud bumps were identified for the high temperature storage condition. In contrast, no significant increase in electrical resistance or the damages have been observed after the TC or the HTS tests for the C2 samples. The results confirm that the C2 flip chip technology provides robust low-cost solder connections C2 reliability on a low-k TEG With continuing CMOS (Complementary Metal Oxide Semiconductor) scaling, the use of low-k dielectric materials is becoming the trend to reduce RC delays. However, the low-k dielectric materials have low elastic modulus and are brittle. Combined effects of large die size and finer bump pitch makes the Pb free solder-capped Cu pillar structures on low-k dies to be challenging. It is therefore important to study the chip-package interaction closely to improve the overall robustness of low-k packages. In this Fig. 23 Monitoring results of the increase in relative resistance after TC and HTS test for Au stud-solder interconnections. Fig. 24 Kirkendall voids observation of 80 μm pitch samples (a) Time-0, (b) After HTS 1,000 hrs, (c) After HTS 1,500 hrs. 82

11 Orii et al.: Micro Structure Observation and Reliability Behavior (11/14) section, the affinity of the C2 structure for the systems with low-k materials was evaluated. The low-k device test die description is written in section JEDEC Level-3 pre-conditioning and TC tests ( 55 C to +125 C, 2 CPH) were performed. No failures were observed up to 1,500 cycles. The cross-section of the C2 joint after 1,000 cycles of test is shown in Fig. 25. No damages have been observed for these joints. The results show that the low-k C2 structures seem robust for die sizes of 6 mm or less. Our next tests will be an evaluation of the C2 bump structures on a larger die Cu pillar-solder structure with ultra thin die The same test vehicles as noted in test vehicle section were used for this evaluation. Only TC tests ( 40 C to +115 C, 2 CPH) were done with the thin dies. Four different thicknesses of silicon dies, 20 μm, 70 μm, 150 μm and 725 μm, were joined on organic substrates with the C2 technology with PEG technique. One 725 μm sample out of eight failed at 4,000 cycles. Although the other seven 725 μm samples passed the test, their resistance increased somewhat. The resistances of the other die thicknesses were very stable and the ratio of increased resistance was less than 2% over 8,500 cycles as shown in Fig. 26. One of the concerned failure modes for such thin dies was a die crack due to PEG process. However, there were no failures of that mode. This reliability test results in confirming that when a die is thin, the strain on its joints is small Structure analysis on the low-k stress and the solder joint strain FEM simulation was performed to investigate the effect of the die thickness on the substrate warpage and the solder joint strain over the temperature range from 220 C to 55 C. Figure 27 shows the maximum substrate warpage is 87 μm for a die thickness of 725 μm and 437 μm for a die thickness of 50 μm. When the die is thick, the hard silicon limits the warpage of the substrate. Conversely, when the die is thin, it cannot resist warping of the substrate and Fig um pitch C2 flip chip interconnection with low-k device. Fig. 27 Effect of chip thickness on substrate warpage and solder strain. Fig. 26 Result of thermal cycling test. 83

12 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 Fig. 28 Effect of Cu pillar height on low-k stress and solder strain. then the warpage is large. At the same time, the strain on the solder joints is reduced when the die is thin. The strain is for a die thickness of 725 μm and for 50 μm. This simulation results are in good agreement with the experimental data that the thicker chip package had earlier solder joint fatigue failure than thinner one. To study the effect of Cu pillar to solder height ratio on low-k stress and solder joint strain, the simulation was conducted by the FEM model which had no underfill material over the temperature range from 220 C to 25 C. Figure 28 shows that the lower Cu pillar height is suitable for the low-k stress reduction. From the electromigration point of view, the Cu pillar is beneficial for solder electromigration lifetime improvement. So it is important to determine the Cu pillar height considering both the low-k stress and the electromigration performance. 3.3 Electromigration Electromigration test results During the electromigration test, the electrical resistance variation was monitored in-situ using test vehicles. The variations of electrical resistance during the test were less than 1% for all the samples as shown in Fig. 29. The failure criterion is 10% resistance variation from the initial resistance value. From these figures, no failure data was recorded up to 1,000 hours. The EPMA analyses of the cross-sections of the Sn-2.5Ag solder joints without Ni barriers or thermal aging were performed to observe the IMC growth and the dissolution of Cu atoms into the solder. The results before and after the electromigration test are shown in Fig. 30. The results for the joints with opposite electron flow directions are shown in Fig. 30 (b) and (c). The stress condition of these samples was 1,000 hours at current density of 10 ka/cm C. The cross-sections were perpendicular to the longer direction of pads. Before the electromigration test, the Cu 3 Sn IMC layers were observed on both the Cu pillar of the die side and on the Fig. 29 Electrical resistance variation during the electromigration tests. Fig. 30 Cross-sectional images of solder capped Cu pillar joint (a) Cu pillar-sn-2.5ag, before electromigration test (b)(c) Cu pillar-sn-2.5ag, 10 ka/cm C, 1,000 hours. Cu pads of the substrate side as shown in Fig. 30 (a). The Cu 6 Sn 5 IMC layers were observed at the center sides of the Cu 3 Sn layers. In the center of the solder joint, a large quantity of residual Sn was observed. After the electromigration test, Cu 6 Sn 5 layers grew in the center region of each joint and only few Sn solder remained. The residual Sn solder in the center region of the joints transformed into the Cu 6 Sn 5 IMCs and that the thickness of the Cu 6 Sn 5 IMC layers increased during the electromigration test. However the thicknesses of Cu 3 Sn IMC layers on the Cu pillars and the Cu pads were almost the same and remained unchanged regardless of the electron flow directions. The Cu atom dissolutions into the solder were observed for both the Cu pillar on the die and the Cu pads on the substrate. However, the dissolution occurred on the different sides of the joints depending on the electron flow direction. The Cu pillar on the die dissolved into the Sn 84

13 Orii et al.: Micro Structure Observation and Reliability Behavior (13/14) Fig. 31 Cu 6 Sn 5 IMC Growth vs Current Density. (a) Cu pillar-sn-2.5ag, before electromigration test (b) Cu pillar-sn-2.5ag, 7 ka/cm C, 250 hours (c) Cu pillar-sn-2.5ag, 10 ka/cm C, 250 hours. solder only when the electron flew from the die to the substrate as shown in Fig. 30 (b). The Cu pad dissolution occurred when the electrons flew from the substrate to the die. This is because the Cu metals in the Cu pad dissolve into the Sn solder more in the direction of the electron flow than in the opposite direction as shown in Fig. 30(c). To investigate the dependence of the rate of the Cu 6 Sn 5 growth and the Cu dissolution into the solder on the current density, the cross-sections of the joints with two different current density conditions (7 ka/cm 2 and 10 ka/cm 2 ) are compared and are shown in Fig. 31.[11] The growth rate of Cu 6 Sn 5 IMC is higher for the joint with 10 ka/cm 2 than for the joint with 7 ka/cm 2. Also the dissolution of Cu pillar into the Sn solder is more prominent for the 10 ka/cm 2 joint than for the 7 ka/cm 2 joint. The result suggests that an increase in current density increases the IMC growth rate and the amount of Cu metal dissolution into the solder. A hillock was found on the anode side (Cu pad on the substrate) of the solder joint with 7 ka/cm 2 current density. The residual solder was still observed for the joints with this current density condition. However, all the residual solder transformed into Cu 6 Sn 5 IMCs for the joints with current density of 10 ka/cm 2. No difference in thickness was detected for the Cu 3 Sn IMC layers between 2 current conditions FEM simulation of electromigration FEM simulations of the electromigration were performed to supplement the experimental results. In the finite element analysis, linear hexahedral electro-thermal coupling elements were applied. Figure 32 shows the finite element mesh on the C2 structure and direction of the electron charge flow. Figure 33 shows the current density distribution under the test condition of 7 ka/cm 2 /125 C. It shows that the current crowding region coincides with the Cu consumption region. To evaluate the effect of the Cu pillar standoff height on the current density, Cu pillar Fig. 32 Finite element mesh on the C2 and electron charge flow. Fig. 33 Current density distribution for the Cu pillar and solder. Fig. 34 Effect of Cu pillar height on current density. height was varied from 1 μm to 50 μm in the simulation. The result shows that the current densities in the Cu pillar and the solder both decrease with increasing Cu pillar height as shown in Fig

14 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, Conclusions The C2 using the solder capped Cu pillar bumps is a flip chip interconnection method designed for the wire-bonding technology with a pitch of 50 µm or larger. Since the process is C4 and SMT compatible, it is an inexpensive method and the current C4 and SMT infrastructures can be utilized. Many voids in the solder joint at the chip edge were observed after the reflow process. These voids are supposed to be the shrinkage voids caused by the wide range of the solder liquid phase and the substrate warpage. Since they are not the stress induced voids, it was confirmed that they didn t affect the reliability test. The C2 interconnection resistance increase after the thermal cycles and high temperature storage is quite small compared to Au stud-solder interconnections. Also the C2 structure was evaluated for a low-k device and no failures were observed at 1,500 cycles in the thermal cycle test. The electromigration tests showed that the C2 structure has high endurance against electromigration and no failure data was recorded until 1,000 hours with several electromigration conditions regardless the direction of electron flow. This indicates that the C2 structure is very robust. However, if one considers the stress on the low-k materials, the Cu pillar structure has a disadvantage in comparison with the traditional solder joint. FEM simulation shows that reducing the Cu pillar height can reduce the stress on the low-k materials. From the electromigration point of view, an increase in the Cu pillar height can reduce the effect of the current crowding in the joints. A careful optimization of the joint structure is necessary including the Cu pillar height, in order to simultaneously realize the low-k stress reduction and the formation of the electromigration resistant joints. CPI (Chip Package Interaction),[12] which is the interaction between the semiconductor package stresses and the semiconductor device, becomes more important and the flip chip interconnection structure and its joint material optimization must continue to be discussed for the future more complicated packaging such as 3D-IC. References [1] T. Maeda, 3-Dimensional Package on Package Mounting Process by STAMP, Journal of Japan Institute of Electronics Packaging, No. 3, 2005 (in Japanese). [2] K. Fujita and T. Kamiyoshi, Three-Dimensional System in Packaging Technology, Sharp Technology Report, No. 83, [3] Y. Yoneda, T. Kuramochi, T. Sohara, and J. M. Liao, A Novel Flip Chip Bonding Technology Using Au Stud Bump and Lead-Free Solder, Pan-Pacific Conference, [4] Y. Orii, K. Toriyama, Y. Oyama, and T. Nishio, Ultrathin SiP/PoP Technologies using 50 µm pitch C4 interconnections, Proceedings of the International Conference on Electronics Packaging, pp , [5] K. Toriyama and Y. Orii, Development of Fine Pitch Flip Chip Interconnection Using Solder Bumps, Proceedings of the Microelectronics Symposium, pp , 2007 (in Japanese). [6] Y. Orii, K. Toriyama, Y. Oyama, and T. Nishio, Post Encapsulation Grinding for MPS-C2 Ultrafine Pitch Flip Chip Technology, Proceedings of the International Conference on Electronics Packaging, pp , [7] Y. Orii, K. Toriyama, Y. Oyama, and T. Nakanishi, Development of Solder Interconnection in Ultra Thin SiP/PoP, National Convention of I.E.E. Japan, Vol. 3, 3 S16(21) (24), 2006 (in Japanese). [8] R. Kinyanjuia, L. P. Lehmana, L. Zavalija, and E. Cottsa, Effect of Sample Size on the Solidification Temperature and Microstructure of SnAgCu Near Eutectic Alloys, Journal of Materials Research, Vol. 20, pp , [9] Y. Orii, K. Toriyama, H. Noma, and K. Uenishi, Solder alloy observation and its reliability of ultra fine pitch peripheral flip chip interconnection with Cu post bumps, Microjoining and Assembly Technology in Electronics Symposium, pp , 2011 (in Japanese). [10] S. Jeong, N. Murata, Y. Sato, K. Suzuki, and H. Miura, Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical and Electrical Properties of the Jointed Structures, Transactions of The Japan Institute of Electronics Packaging, Vol. 2, No. 1, pp , [11] Y. Orii, K. Toriyama, S. Kohara, H. Noma, K. Okamoto, D. Toyoshima, and K. Uenishi, Electromigration analysis of peripheral ultra fine pitch C2 flip chip interconnection with solder capped Cu pillar bump, The 61st Electronic Components and Technology Conference (ECTC), pp , [12] JEDEC, JEP156: Chip-Package Interaction Understanding, Identification and Evaluation,

Flip Chip Package Qualification of RF-IC Packages

Flip Chip Package Qualification of RF-IC Packages Flip Chip Package Qualification of RF-IC Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract Quad Flat Pack No Leads (QFNs) are thermally enhanced plastic packages

More information

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings.

Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. Copyright 2008 IEEE. Reprinted from ECTC2008 Proceedings. This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Amkor

More information

, Yong-Min Kwon 1 ) , Ho-Young Son 1 ) , Jeong-Tak Moon 2 ) Byung-Wook Jeong 2 ) , Kyung-In Kang 2 )

, Yong-Min Kwon 1 ) , Ho-Young Son 1 ) , Jeong-Tak Moon 2 ) Byung-Wook Jeong 2 ) , Kyung-In Kang 2 ) Effect of Sb Addition in Sn-Ag-Cu Solder Balls on the Drop Test Reliability of BGA Packages with Electroless Nickel Immersion Gold (ENIG) Surface Finish Yong-Sung Park 1 ), Yong-Min Kwon 1 ), Ho-Young

More information

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages

A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages A Manufacturing Technology Perspective of: Embedded Die in Substrate and Panel Based Fan-Out Packages Bernd K Appelt Director WW Business Development April 24, 2012 Table of Content Definitions Wafer Level

More information

Influence of Solder Reaction Across Solder Joints

Influence of Solder Reaction Across Solder Joints Influence of Solder Reaction Across Solder Joints Kejun Zeng FC BGA Packaging Development Semiconductor Packaging Development Texas Instruments, Inc. 6 th TRC Oct. 27-28, 2003 Austin, TX 1 Outline Introduction

More information

Good Boards = Results

Good Boards = Results Section 2: Printed Circuit Board Fabrication & Solderability Good Boards = Results Board fabrication is one aspect of the electronics production industry that SMT assembly engineers often know little about.

More information

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices

PCB Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Assembly Guidelines for Intersil Wafer Level Chip Scale Package Devices Introduction There is an industry-wide trend towards using the smallest package possible for a given pin count. This is driven primarily

More information

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT)

Fraunhofer ISIT, Itzehoe 14. Juni 2005. Fraunhofer Institut Siliziumtechnologie (ISIT) Research and Development centre for Microelectronics and Microsystems Applied Research, Development and Production for Industry ISIT applies an ISO 9001:2000 certified quality management system. Certificate

More information

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages

8-bit Atmel Microcontrollers. Application Note. Atmel AVR211: Wafer Level Chip Scale Packages Atmel AVR211: Wafer Level Chip Scale Packages Features Allows integration using the smallest possible form factor Packaged devices are practically the same size as the die Small footprint and package height

More information

Effect of PWB Plating on the Microstructure and Reliability of SnAgCu Solder Joints

Effect of PWB Plating on the Microstructure and Reliability of SnAgCu Solder Joints Effect of PWB Plating on the Microstructure and Reliability of SnAgCu Solder Joints Y. Zheng, C. Hillman, P. McCluskey CALCE Electronic Products and Systems Center A. James Clark School of Engineering

More information

Customer Service Note Lead Frame Package User Guidelines

Customer Service Note Lead Frame Package User Guidelines Customer Service Note Lead Frame Package User Guidelines CSN30: Lead Frame Package User Guidelines Introduction Introduction When size constraints allow, the larger-pitched lead-frame-based package design

More information

Comparison of Advanced PoP Package Configurations

Comparison of Advanced PoP Package Configurations Comparison of Advanced PoP Package Configurations By Hamid Eslampour, SeongMin Lee, SeongWon Park, TaeKeun Lee, InSang Yoon, YoungChul Kim STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010.

More information

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view. Rev. 1.

Molded. By July. A chip scale. and Omega. Guidelines. layer on the silicon chip. of mold. aluminum or. Bottom view.  Rev. 1. Application Note PAC-006 By J. Lu, Y. Ding, S. Liu, J. Gong, C. Yue July 2012 Molded Chip Scale Package Assembly Guidelines Introduction to Molded Chip Scale Package A chip scale package (CSP) has direct

More information

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team

Faszination Licht. Entwicklungstrends im LED Packaging. Dr. Rafael Jordan Business Development Team. Dr. Rafael Jordan, Business Development Team Faszination Licht Entwicklungstrends im LED Packaging Dr. Rafael Jordan Business Development Team Agenda Introduction Hermetic Packaging Large Panel Packaging Failure Analysis Agenda Introduction Hermetic

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

Selective Soldering Defects and How to Prevent Them

Selective Soldering Defects and How to Prevent Them Selective Soldering Defects and How to Prevent Them Gerjan Diepstraten Vitronics Soltec BV Introduction Two major issues affecting the soldering process today are the conversion to lead-free soldering

More information

Flex Circuit Design and Manufacture.

Flex Circuit Design and Manufacture. Flex Circuit Design and Manufacture. Hawarden Industrial Park, Manor Lane, Deeside, Flintshire, CH5 3QZ Tel 01244 520510 Fax 01244 520721 Sales@merlincircuit.co.uk www.merlincircuit.co.uk Flex Circuit

More information

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica

Printed Circuits. Danilo Manstretta. microlab.unipv.it/ danilo.manstretta@unipv.it. AA 2012/2013 Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali per l Elettronica Printed Circuits Danilo Manstretta microlab.unipv.it/ danilo.manstretta@unipv.it Printed Circuits Printed Circuits Materials Technological steps Production

More information

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages

Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages Wafer Level Testing Challenges for Flip Chip and Wafer Level Packages by Lim Kok Hwa and Andy Chee STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 kokhwa.lim@statschippac.com; kenghwee.chee@statschippac.com

More information

Interface Reaction and Mechanical Properties of Lead-free Sn Zn Alloy/Cu Joints

Interface Reaction and Mechanical Properties of Lead-free Sn Zn Alloy/Cu Joints Materials Transactions, Vol. 43, No. 8 (2002) pp. 1797 to 1801 Special Issue on Lead-Free Electronics Packaging c 2002 The Japan Institute of Metals Interface Reaction and Mechanical Properties of Lead-free

More information

Edition 2012-032 Published by Infineon Technologies AG 81726 Munich, Germany 2013 Infineon Technologies AG All Rights Reserved.

Edition 2012-032 Published by Infineon Technologies AG 81726 Munich, Germany 2013 Infineon Technologies AG All Rights Reserved. Recommendations for Printed Circuit Board Assembly of Infineon Laminate Packages Additional Information DS1 2012-03 Edition 2012-032 Published by Infineon Technologies AG 81726 Munich, Germany 2013 Infineon

More information

FLEXIBLE CIRCUITS MANUFACTURING

FLEXIBLE CIRCUITS MANUFACTURING IPC-DVD-37 FLEXIBLE CIRCUITS MANUFACTURING Below is a copy of the narration for DVD-37. The contents of this script were developed by a review group of industry experts and were based on the best available

More information

Designing with High-Density BGA Packages for Altera Devices

Designing with High-Density BGA Packages for Altera Devices 2014.12.15 Designing with High-Density BGA Packages for Altera Devices AN-114 Subscribe As programmable logic devices (PLDs) increase in density and I/O pins, the demand for small packages and diverse

More information

Pb-Free Plating for Electronic Components

Pb-Free Plating for Electronic Components Pb-Free Plating for Electronic Components by Morimasa Tanimoto *, Hitoshi Tanaka *, Satoshi Suzuki * and Akira Matsuda * The authors have developed Pb-free tin alloy plating materials. Preliminary ABSTRACT

More information

Ball Grid Array (BGA) Technology

Ball Grid Array (BGA) Technology Chapter E: BGA Ball Grid Array (BGA) Technology The information presented in this chapter has been collected from a number of sources describing BGA activities, both nationally at IVF and reported elsewhere

More information

Advanced Technologies and Equipment for 3D-Packaging

Advanced Technologies and Equipment for 3D-Packaging Advanced Technologies and Equipment for 3D-Packaging Thomas Oppert Semicon Russia 15 th May 2014 Outline Short Company Introduction Electroless Plating on Wafer Level Ultra-SB 2 - Wafer Level Solder Balling

More information

RoHS-Compliant Through-Hole VI Chip Soldering Recommendations

RoHS-Compliant Through-Hole VI Chip Soldering Recommendations APPLICATION NOTE AN:017 RoHS-Compliant Through-Hole VI Chip Soldering Recommendations Ankur Patel Associate Product Line Engineer Contents Page Introduction 1 Wave Soldering 1 Hand Soldering 4 Pin/Lead

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES By Al Wright, PCB Field Applications Engineer Epec Engineered Technologies Anyone involved within the printed circuit board (PCB) industry

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Lead Free Reliability Testing

Lead Free Reliability Testing Lead Free Reliability Testing Reliability Implications of Lead-Free Reliability, of what? Solder Joints Laminate Solder Joint Reliability Components SAC vs. SAC main alternative alloy Comparison is desired,

More information

BGA - Ball Grid Array Inspection Workshop. Bob Willis leadfreesoldering.com

BGA - Ball Grid Array Inspection Workshop. Bob Willis leadfreesoldering.com BGA - Ball Grid Array Inspection Workshop Bob Willis leadfreesoldering.com Mixed Technology Assembly Processes Adhesive Dispensing Component Placement Adhesive Curing Turn Boar Over Conventional Insertion

More information

Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA. CTB Hybrids WG ESTEC-22nd May 2007

Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA. CTB Hybrids WG ESTEC-22nd May 2007 Evaluation of Soft Soldering on Aluminium Nitride (AlN) ESTEC Contract No. 19220/05/NL/PA CTB Hybrids WG ESTEC-22nd May 2007 Evaluation of Soft Soldering on AlN Schedule Project presentation Feasibility

More information

Q&A. Contract Manufacturing Q&A. Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials

Q&A. Contract Manufacturing Q&A. Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials Q&A Q&A for those involved in Contract Manufacturing using Nelco Electronic Materials 1. Do Nelco laminates have any discoloration effects or staining issues after multiple high temperature exposures?

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Handling and Processing Details for Ceramic LEDs Application Note

Handling and Processing Details for Ceramic LEDs Application Note Handling and Processing Details for Ceramic LEDs Application Note Abstract This application note provides information about the recommended handling and processing of ceramic LEDs from OSRAM Opto Semiconductors.

More information

ENIG with Ductile Electroless Nickel for Flex Circuit Applications

ENIG with Ductile Electroless Nickel for Flex Circuit Applications ENIG with Ductile Electroless Nickel for Flex Circuit Applications Yukinori Oda, Tsuyoshi Maeda, Chika Kawai, Masayuki Kiso, Shigeo Hashimoto C.Uyemura & Co., Ltd. George Milad and Donald Gudeczauskas

More information

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien

Dual Integration - Verschmelzung von Wafer und Panel Level Technologien ERÖFFNUNG DES INNOVATIONSZENTRUMS ADAPTSYS Dual Integration - Verschmelzung von Wafer und Panel Level Technologien Dr. Michael Töpper BDT Introduction Introduction Why do we need such large machines to

More information

Electroplating with Photoresist Masks

Electroplating with Photoresist Masks Electroplating with Photoresist Masks Revised: 2014-01-17 Source: www.microchemicals.com/downloads/application_notes.html Electroplating - Basic Requirements on the Photoresist Electroplating with photoresist

More information

SURFACE FINISHING FOR PRINTED CIRCUIT BOARDS

SURFACE FINISHING FOR PRINTED CIRCUIT BOARDS SURFACE FINISHING FOR PRINTED CIRCUIT BOARDS In a world of ever-increasing electronic component complexity and pin count requirements for component packaging, focus is once again on the age-old question

More information

The Interactions between SNAGCU Solder and NI(P)/AU, NI(P)/PD/AU UBMS

The Interactions between SNAGCU Solder and NI(P)/AU, NI(P)/PD/AU UBMS The Interactions between SNAGCU Solder and NI(P)/AU, NI(P)/PD/AU UBMS Jui-Yun Tsai, Josef Gaida, Gerhard Steinberger and Albrecht Uhlig Atotech Deutschland GmbH Berlin, Germany ABSTRACT The metallurgical

More information

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region

Solutions without Boundaries. PCB Surface Finishes. Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region Solutions without Boundaries PCB Surface Finishes Todd Henninger, C.I.D. Sr. Field Applications Engineer Midwest Region 1 Notice Notification of Proprietary Information: This document contains proprietary

More information

Interfacial Reaction between Sn Ag Co Solder and Metals

Interfacial Reaction between Sn Ag Co Solder and Metals Materials Transactions, Vol. 46, No. 11 (25) pp. 2394 to 2399 Special Issue on Lead-Free ing in Electronics III #25 The Japan Institute of Metals Interfacial Reaction between Sn Ag Co and Metals Hiroshi

More information

Lapping and Polishing Basics

Lapping and Polishing Basics Lapping and Polishing Basics Applications Laboratory Report 54 Lapping and Polishing 1.0: Introduction Lapping and polishing is a process by which material is precisely removed from a workpiece (or specimen)

More information

DETECTION OF DEFECT ON FBGA SOLDER BALLS USING X-RAY TECHNOLOGY

DETECTION OF DEFECT ON FBGA SOLDER BALLS USING X-RAY TECHNOLOGY DETECTION OF DEFECT ON FBGA SOLDER BALLS USING X-RAY TECHNOLOGY Pavel Řihák Doctoral Degree Programme (2), FEEC BUT E-mail: xrihak02@stud.feec.vutbr.cz Supervised by: Ivan Szendiuch E-mail: szend@feec.vutbr.cz

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Chip-on-board Technology

Chip-on-board Technology Hybrid Technology The trend in electronics is to continue to integrate more and more functions and numbers of components into a single, smaller assembly. Hybrid circuit technology is a key method of increasing

More information

Analysis of BGA Solder Joint Reliability for Selected Solder Alloy and Surface Finish Configurations

Analysis of BGA Solder Joint Reliability for Selected Solder Alloy and Surface Finish Configurations Analysis of BGA Solder Joint Reliability for Selected Solder Alloy and Surface Finish Configurations Hugh Roberts / Atotech USA Inc Sven Lamprecht and Christian Sebald / Atotech Deutschland GmbH Mark Bachman,

More information

How to Avoid Conductive Anodic Filaments (CAF)

How to Avoid Conductive Anodic Filaments (CAF) How to Avoid Conductive Anodic Filaments (CAF) Ling Zou & Chris Hunt 22 January 20 1 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the end Submit

More information

Lead Free Wave Soldering

Lead Free Wave Soldering China - Korea - Singapore- Malaysia - USA - Netherlands - Germany WAVE SELECTIVE REFLOW SOLDERING SOLDERING SOLDERING Lead Free Wave Soldering Ursula Marquez October 18, 23 Wave Soldering Roadmap Parameter

More information

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE

THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE 5th World Conference on Photovoltaic Energy Conversion, 6-1 September 21, Valencia, Spain THE IMPACT OF YIELD STRENGTH OF THE INTERCONNECTOR ON THE INTERNAL STRESS OF THE SOLAR CELL WITHIN A MODULE Y.

More information

AND8464/D. Board Level Application Note for 0402, 0502 and 0603 DSN2 Packages APPLICATION NOTE

AND8464/D. Board Level Application Note for 0402, 0502 and 0603 DSN2 Packages APPLICATION NOTE Board Level Application Note for 0402, 0502 and 0603 DSN2 Packages Prepared by: Denise Thienpont, Steve St. Germain ON Semiconductor APPLICATION NOTE Introduction ON Semiconductor has introduced an expanded

More information

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples

Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples Cross-Interaction Between Au and Cu in Au/Sn/Cu Ternary Diffusion Couples C. W. Chang 1, Q. P. Lee 1, C. E. Ho 1 1, 2, *, and C. R. Kao 1 Department of Chemical & Materials Engineering 2 Institute of Materials

More information

TN0991 Technical note

TN0991 Technical note Technical note Description of WLCSP for STMicroelectronics EEPROMs and recommendations for use Introduction This document describes the 5 and 8-bump WLCSPs (wafer level chip size package) used for STMicroelectronics

More information

Assembly of LPCC Packages AN-0001

Assembly of LPCC Packages AN-0001 Assembly of LPCC Packages AN-0001 Surface Mount Assembly and Handling of ANADIGICS LPCC Packages 1.0 Overview ANADIGICS power amplifiers are typically packaged in a Leadless Plastic Chip Carrier (LPCC)

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

Failure Analysis (FA) Introduction

Failure Analysis (FA) Introduction Failure Analysis (FA) Introduction (III - Reliability ) Tung-Bao Lu 1 of 23 Reliability Stress Stress Reliability Geberal Condition Temperature Humidity Electrical Others Precondition Baking/L3/Reflowing

More information

Thermal Management for Low Cost Consumer Products

Thermal Management for Low Cost Consumer Products Thermal Management for Low Cost Consumer Products TI Fellow Manager: Advanced Package Modeling and Characterization Texas Instruments rvin@ti.com Outline The challenges Stacked die, Package-on-Package,

More information

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M Miniaturizing Flexible Circuits for use in Medical Electronics Nate Kreutter 3M Drivers for Medical Miniaturization Market Drivers for Increased use of Medical Electronics Aging Population Early Detection

More information

Solder Reflow Guide for Surface Mount Devices

Solder Reflow Guide for Surface Mount Devices June 2015 Introduction Technical Note TN1076 This technical note provides general guidelines for a solder reflow and rework process for Lattice surface mount products. The data used in this document is

More information

Measuring of the Temperature Profile during the Reflow Solder Process Application Note

Measuring of the Temperature Profile during the Reflow Solder Process Application Note Measuring of the Temperature Profile during the Reflow Solder Process Application Note Abstract With reference to the application note Further Details on lead free reflow soldering of LEDs the present

More information

Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages

Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages APPLICATION NOTE Suggested PCB Land Pattern Designs for Leaded and Leadless Packages, and Surface Mount Guidelines for Leadless Packages Introduction This Application Note provides sample PCB land pattern

More information

ECP Embedded Component Packaging Technology

ECP Embedded Component Packaging Technology ECP Embedded Component Packaging Technology A.Kriechbaum, H.Stahr, M.Biribauer, N.Haslebner, M.Morianz AT&S Austria Technologie und Systemtechnik AG Abstract The packaging market has undergone tremendous

More information

Preface xiii Introduction xv 1 Planning for surface mount design General electronic products 3 Dedicated service electronic products 3 High-reliability electronic products 4 Defining the environmental

More information

GUIDELINES FOR PRINTED CIRCUIT BOARD ASSEMBLY (PCBA) OF UTAC GROUP S GRID ARRAY PACKAGE (GQFN) AND ITS BOARD LEVEL RELIABILITY

GUIDELINES FOR PRINTED CIRCUIT BOARD ASSEMBLY (PCBA) OF UTAC GROUP S GRID ARRAY PACKAGE (GQFN) AND ITS BOARD LEVEL RELIABILITY GUIDELINES FOR PRINTED CIRCUIT BOARD ASSEMBLY (PCBA) OF UTAC GROUP S GRID ARRAY PACKAGE (GQFN) AND ITS BOARD LEVEL RELIABILITY Kyaw Ko Lwin*, Daniel Ting Lee Teh, Carolyn Epino Tubillo, Jun Dimaano, Ang

More information

Development of High-Speed High-Precision Cooling Plate

Development of High-Speed High-Precision Cooling Plate Hironori Akiba Satoshi Fukuhara Ken-ichi Bandou Hidetoshi Fukuda As the thinning of semiconductor device progresses more remarkably than before, uniformity within silicon wafer comes to be strongly required

More information

Lead-Free Universal Solders for Optical and MEMS Packaging

Lead-Free Universal Solders for Optical and MEMS Packaging Lead-Free Universal Solders for Optical and MEMS Packaging Sungho Jin Univ. of California, San Diego, La Jolla CA 92093 OUTLINE -- Introduction -- Universal Solder Fabrication -- Microstructure -- Direct

More information

Technical Note Recommended Soldering Parameters

Technical Note Recommended Soldering Parameters Technical Note Recommended Soldering Parameters Introduction Introduction The semiconductor industry is moving toward the elimination of Pb from packages in accordance with new international regulations.

More information

DFX - DFM for Flexible PCBs Jeremy Rygate

DFX - DFM for Flexible PCBs Jeremy Rygate DFX - DFM for Flexible PCBs Jeremy Rygate 1 Jeremy Rygate 30 years experience with Front End in the Electronics industry and PCB manufacturing. Experience in advanced PCBs, particularly Flex, Flex-rigid

More information

For board-to-fpc. Narrow Pitch Connectors (0.35mm pitch)

For board-to-fpc. Narrow Pitch Connectors (0.35mm pitch) For board-to-fpc Narrow Pitch Connectors (0.35mm pitch) S35 Series New FEATURES 1. 1.7 mm wide slim two-piece type connector Mated height 0.6 mm Smaller compared to A4F series Width: Approx. 44% down

More information

AN-617 Application Note

AN-617 Application Note One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Wafer Level Chip Scale Package by the Wafer Level Package Development Team GENERAL DESCRIPTION

More information

Meeting the Thermal Management Needs of Evolving Electronics Applications

Meeting the Thermal Management Needs of Evolving Electronics Applications Meeting the Thermal Management Needs of Evolving Electronics Applications Dr. Glenn Mitchell / August 2015 Agenda Introduction Thermal Industry Trends TIM Challenges, Needs & Criteria TIM Industry Solutions

More information

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004

How to Build a Printed Circuit Board. Advanced Circuits Inc 2004 How to Build a Printed Circuit Board 1 This presentation is a work in progress. As methods and processes change it will be updated accordingly. It is intended only as an introduction to the production

More information

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered

Specializing in Open Cavity Packages & Complete IC Assembly Services ISO 9001:2008 Certified and ITAR Registered TowerJazz Global Symposium Specializing in Open Cavity Packages & Complete IC Assembly Services and TowerJazz Global Symposium Quik-Pak a division of Delphon Industries 2011 Gold Sponsor and TowerJazz

More information

HOT BAR REFLOW SOLDERING FUNDAMENTALS. A high quality Selective Soldering Technology

HOT BAR REFLOW SOLDERING FUNDAMENTALS. A high quality Selective Soldering Technology HOT BAR REFLOW SOLDERING FUNDAMENTALS A high quality Selective Soldering Technology Content 1. Hot Bar Reflow Soldering Introduction 2. Application Range 3. Process Descriptions > Flex to PCB > Wire to

More information

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology

Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Development of a Design & Manufacturing Environment for Reliable and Cost-Effective PCB Embedding Technology Outline Introduction CAD design tools for embedded components Thermo mechanical design rules

More information

Taking the Pain Out of Pb-free Reflow

Taking the Pain Out of Pb-free Reflow Taking the Pain Out of Pb-free Reflow Paul N. Houston & Brian J. Lewis Siemens Dematic Electronics Assembly Systems (770) 797-3362 Presented at APEX 2003, Anaheim CA Daniel F. Baldwin Engent, Inc. Norcross,

More information

0.3 mm Contact Pitch, 0.9 mm above the board, Flexible Printed Circuit ZIF Connectors.

0.3 mm Contact Pitch, 0.9 mm above the board, Flexible Printed Circuit ZIF Connectors. 0.3 mm Contact Pitch, 0.9 mm above the board, Flexible Printed Circuit ZIF Connectors. FH Series (51pos. type) 3.45mm 17.1mm 0.9mm Features 1. Extremely light weight The largest version, with all contacts

More information

Interfacial Properties of Zn Sn Alloys as High Temperature Lead-Free Solder on Cu Substrate

Interfacial Properties of Zn Sn Alloys as High Temperature Lead-Free Solder on Cu Substrate Materials Transactions, Vol. 46, No. 11 (2005) pp. 2413 to 2418 Special Issue on Lead-Free Soldering in Electronics III #2005 The Japan Institute of Metals Interfacial Properties of Zn Sn Alloys as High

More information

SMD Power Elements Design Guide. 50 A SMD Technology Small Size High Current

SMD Power Elements Design Guide. 50 A SMD Technology Small Size High Current SMD Power Elements Design Guide 50 A SMD Technology Small Size High Current Contents Surface Mount Technology & Assembly Surface Pad Geometry & Stencil Technical Data Qualification Reliability Test 2 www.we-online.com

More information

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group

HDI. HDI = High Density Interconnect. Kenneth Jonsson Bo Andersson. NCAB Group HDI HDI = High Density Interconnect Kenneth Jonsson Bo Andersson NCAB Group Definitions / Standards (IPC) Pros & Cons Key equipment Build-ups Choice of material Design rules IPC HDI reliability (µvia stacked

More information

Choosing a Stencil. By William E. Coleman, Ph.D. and Michael R. Burgess

Choosing a Stencil. By William E. Coleman, Ph.D. and Michael R. Burgess Choosing a Stencil Is a stencil a commodity or a precision tool? A commodity is something that can be purchased from many suppliers, with the expectation that the performance will be the same. A precision

More information

Coating Thickness and Composition Analysis by Micro-EDXRF

Coating Thickness and Composition Analysis by Micro-EDXRF Application Note: XRF Coating Thickness and Composition Analysis by Micro-EDXRF www.edax.com Coating Thickness and Composition Analysis by Micro-EDXRF Introduction: The use of coatings in the modern manufacturing

More information

Global Semiconductor Packaging Materials Outlook

Global Semiconductor Packaging Materials Outlook NOVEMBER 2009 Global Semiconductor Packaging Materials Outlook Produced by Semiconductor Equipment and Materials International and TechSearch International, Inc. EXECUTIVE SUMMARY 1 1 INTRODUCTION 5 1.1

More information

DESIGN GUIDELINES FOR LTCC

DESIGN GUIDELINES FOR LTCC DESIGN GUIDELINES FOR LTCC HERALOCK HL2000 MATERIALS SYSTEM Preliminary Guideline Release 1.0 CONTENTS 1. INTRODUCTION 1.1. GLOSSARY OF TERMS 1.2. LTCC PROCESS FLOW DIAGRAM 1.3. UNITS OF MEASURE 2. PROCESSING

More information

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS

CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS CHAPTER 5. OVERVIEW OF THE MANUFACTURING PROCESS 5.1 INTRODUCTION The manufacturing plant considered for analysis, manufactures Printed Circuit Boards (PCB), also called Printed Wiring Boards (PWB), using

More information

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness

Sn-Cu Intermetallic Grain Morphology Related to Sn Layer Thickness Journal of ELECTRONIC MATERIALS, Vol. 36, No. 11, 2007 DOI: 10.1007/s11664-007-0270-x Ó 2007 TMS Special Issue Paper -Cu Intermetallic Grain Morphology Related to Layer Thickness MIN-HSIEN LU 1 and KER-CHANG

More information

Formation of solids from solutions and melts

Formation of solids from solutions and melts Formation of solids from solutions and melts Solids from a liquid phase. 1. The liquid has the same composition as the solid. Formed from the melt without any chemical transformation. Crystallization and

More information

LEAD FREE HALOGENFREE. Würth Elektronik PCB Design Conference 2007. Lothar Weitzel 2007 Seite 1

LEAD FREE HALOGENFREE. Würth Elektronik PCB Design Conference 2007. Lothar Weitzel 2007 Seite 1 LEAD FREE HALOGENFREE Würth Elektronik PCB Design Conference 2007 Lothar Weitzel 2007 Seite 1 Content Solder surfaces/overview Lead free soldering process requirements/material parameters Different base

More information

POWER GOLD FOR 175 C Tj-max

POWER GOLD FOR 175 C Tj-max POWER GOLD FOR 175 C Tj-max James J. Wang and Bob Baird Motorola Inc. Tempe, Arizona USA James.J.Wang@motorola.com ABSTRACT Automotive is requesting engine control IC to operate in 145 C ambient. Power

More information

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2 Solid State Phenomena Vol. 134 (2008) pp 359-362 Online available since 2007/Nov/20 at www.scientific.net (2008) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.134.359 Metal Hard

More information

Printed Circuit Design Tutorial

Printed Circuit Design Tutorial Printed Circuit Design Tutorial By Gold Phoenix Technology Tech Center, sales@goldphoenixpcb.biz Gold Phoenix has been sale PCB board in North America since 2003, during these years we received a lot of

More information

How compact discs are made

How compact discs are made How compact discs are made Explained by a layman for the laymen By Kevin McCormick For Science project at the Mountain View Los Altos High School Abstract As the major media for music distribution for

More information

Difference Between Various Sn/Ag/Cu Solder Compositions. Almit Ltd. Tadashi Sawamura Takeo Igarashi

Difference Between Various Sn/Ag/Cu Solder Compositions. Almit Ltd. Tadashi Sawamura Takeo Igarashi Difference Between Various Sn/Ag/Cu Solder Compositions Almit Ltd. Tadashi Sawamura Takeo Igarashi 29/6/2005 Table Of Contents 1. Overview 2. Mechanical Properties 3. Reliability Results 4. Conclusion

More information

PRODUCT PROFILE. ELECTROLOY in partnership with FCT Asia Pte Limited. in manufacturing. Nihon Superior Lead Free Solder Bar.

PRODUCT PROFILE. ELECTROLOY in partnership with FCT Asia Pte Limited. in manufacturing. Nihon Superior Lead Free Solder Bar. PRODUCT PROFILE ELECTROLOY in partnership with FCT Asia Pte Limited in manufacturing Nihon Superior Lead Free Solder Bar SN100C Product Name Product Code LEAD FREE BAR LEAD FREE BAR ( TOP UP ALLOY ) SN100C

More information

Review of the Impact of Intermetallic Layers on the Brittleness of Tin-Lead and Lead-Free Solder Joints

Review of the Impact of Intermetallic Layers on the Brittleness of Tin-Lead and Lead-Free Solder Joints Review of the Impact of Intermetallic Layers on the Brittleness of Tin-Lead and Lead-Free Solder Joints Per-Erik Tegehall, Ph.D. 6-03-15 Preface This review has been funded by Vinnova (Swedish Governmental

More information

Trend of Solder Alloys Development

Trend of Solder Alloys Development Trend of Solder Alloys Development Dr. Ning-Cheng Lee Indium Corporation 1 Solder Is The Choice of Most Electronic Bonding For Years To Come (Indium) (Aprova) (Toleno) Property Soldering Wire Bonding Conductive

More information

Soldering of SMD Film Capacitors in Practical Lead Free Processes

Soldering of SMD Film Capacitors in Practical Lead Free Processes Soldering of SMD Film Capacitors in Practical Lead Free Processes Matti Niskala Product Manager, SMD products Evox Rifa Group Oyj, a Kemet Company Lars Sonckin kaari 16, 02600 Espoo, Finland Tel: + 358

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

Wetting Behavior of Pb-free Solder on Immersion Tin Surface Finishes in Different Reflow Atmospheres

Wetting Behavior of Pb-free Solder on Immersion Tin Surface Finishes in Different Reflow Atmospheres Wetting Behavior of Pb-free Solder on Immersion Tin Surface Finishes in Different Reflow Atmospheres Sven Lamprecht 1, Dr. Kenneth Lee 2, Bill Kao 3, Günter Heinz 1 1 Atotech Deutschland GmbH, Berlin,

More information