Additional evidence of EUV blank defects first seen by wafer printing

Size: px
Start display at page:

Download "Additional evidence of EUV blank defects first seen by wafer printing"

Transcription

1 Additional evidence of EUV blank defects first seen by wafer printing Rik Jonckheere, Dieter Van den Heuvel, Tristan Bret a, Thorsten Hofmann a, John Magana b, Israel Aharonson c, Doron Meshulach c, Eric Hendrickx, Kurt Ronse IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium a Carl Zeiss SMS GmbH, Betriebstätte Rossdorf, Industriestrasse 1, Rossdorf, Germany b INTEL Corporation, SC1-03, 2200 Mission College Blvd., Santa Clara, CA c AMAT PDC, 4 Bergman Street, Rehovot, 76705, Israel ABSTRACT This paper is an add-on to the EMLC2011 contribution, which was awarded best paper and therefore invited at SPIE Photomask (BACUS) This manuscript focuses on additional results since the former conference. First experimental evidence is given that a second generation blank inspection tool has missed a number of printing reticle defects caused by an imperfection of its EUV mirror, i.e., so-called multi-layer defects (ML-defects). This work continued to use a combination of blank inspection (BI), patterned mask inspection (PMI) and wafer inspection (WI) to find as many as possible printing defects on EUV reticles. The application of more advanced wafer inspection, combined with a separate repeater analysis for each of the multiple focus conditions used for exposure on the ASML Alpha Demo Tool (ADT) at IMEC, has allowed to increase the detection capability for printing ML-defects. It exploits the previous finding that ML-defects may have a through-focus printing behavior. They cause a different grade of CD impact on the pattern in their neighborhood, depending on the focus condition. Subsequent reticle review is done on the corresponding locations with both SEM (Secondary Electron Microscope) and AFM (Atomic Force Microscope). This review methodology has allowed achieving clear evidence of printing ML defects missed by this BI tool, despite of a too high nuisance rate, reported before. This establishes a next step in the investigation how essential actinic blank inspection (ABI) is. Presently it is the only known technique whose detection capability is considered independent from the presence of a (residual) distortion of the multi-layer at the top surface. This is considered an important asset for blank inspection, because the printability of a ML-defect in EUV lithography is determined by the distortion throughout the multilayer, not that at the top surface. Keywords: EUV lithography, mask defectivity, natural defects, blank defects, multi-layer defects, defect inspection 1. INTRODUCTION Extreme ultra-violet lithography EUVL continues to be the lithography technique that is considered to have most promise to extend high-volume manufacturing to well below the 16nm half-pitch generation. Pre-production tools now in the field are expected to demonstrate the capability at 22nm level and to trigger the further development of the required infrastructure. Together with EUV sources, mask defectivity is considered one of the topics that still requires a major breakthrough to realize this. It includes two aspects. The first is the need for particle-free handling, as a pellicle is not obvious for EUVL. The second aspect of mask defectivity refers to the EUV specific type of defects, i.e., those of the multi-layer (ML) mirror, the so-called ML-defects. This paper deals with the latter. It is an extension of a previous publication 1 that triggered invitation to this conference. First a short summary of the previous publication is provided, but the focus is on the addition of new examples that make the conclusion even stronger. As shown before, EUV reticle defectivity has several aspects, beyond the conventional absorber type defects 2-4. The focus of this investigation continues the previously reported endeavors 4-9 to assess ML-defects. Prior work had demonstrated by simulation 3,4,6 that their printability is triggered from just nanometer height or depth onwards. In previous work 4,5,7,9 a number of such natural ML-defects were already visualized. Simulation work 3,4,6 has shown that Photomask Technology 2011, edited by Wilhelm Maurer, Frank E. Abboud, Proc. of SPIE Vol. 8166, 81660E 2011 SPIE CCC code: X/11/$18 doi: / Proc. of SPIE Vol E-1

2 the printability of ML-defects is not dominated by the phase shift caused by the shallow height distortion of the ML mirror. The printability of programmed ML-defects with a given height close to quarter wavelength, resp. half wavelength, considered to generate 180 degree phase shift, resp. 360 degree, did print largely similar 6. This indicates that the presence of an edge slope of a ML-defect has a dominant role in its printability. This is the reason why, unlike other publications by other researchers on this topic, this paper rather refers to these defects of the ML-mirror as MLdefects and not as phase defects. The printability of these ML-defects is found additionally due to the fact that they disturb the reflection of the incoming EUV light locally by scattering. This causes an intensity drop in the image, typical for an amplitude defect. The applied technique focuses on the use of wafer inspection (WI), followed by repeater analysis to identify the defects in the mask. The mask pattern consists of lines and spaces all across the reticle field. The list of defects detected by WI is then compared to the inspection results of patterned mask inspection (PMI) and blank inspection (BI) (= back-ward correlation). The former allows to thin-out the detections to those that correlate to the blank, based on previous evidence 5 that state-of-the-art PMI has (and is estimated to continue to have) detection capability for absorber related defects beyond what prints. Blank inspection done after ML-deposition is intended to detect the EUV-specific ML-defects. Especially for blank inspection the forward correlation to the printed wafer is also of interest. It can also reveal MLdefects on the mask that cause printing defects on the wafer that present WI is not yet capable to detect. One of the present limitations of WI is that the printed image on wafer typically still suffers from relatively high line-edge roughness (LER), such that it is difficult to distinguish it from the printing impact of a defect. The target of this forward - and backward correlation is to check for evidence of printing ML-defects that were missed by BI tools. Earlier work 5 had already demonstrated that a first generation blank inspection tool, as until relatively recently the only one in use by the blank vendors, had missed some printing ML defects. For a second generation tool no such evidence could be found before, although we had included the most advanced WI tools available. Yet, our work 5 has demonstrated that a high sensitivity of this BI tool is at the cost of a large set of detections that could not be confirmed to print by wafer review. This is for example a drawback in one of the intended mitigation approaches in which the absorber pattern is shifted, in order to cover the ML-defect to make it non-printable 11. As long as there are too many blank defects this route is not feasible. Once blank fabrication improvement provides a low blank defect density it is in reach to use such pattern shift technique. Ideally BI should be capable of detecting ALL printing ML-defects (and with low nuisance detections). The previous publication 1 already revealed four examples of printing ML-defects missed by the second generation BI tool. Here we add two and provide further analysis of previously found evidence. In a separate contribution to this conference 13 the feasibility of a second mitigation technique is discussed: If the most advanced blank inspection cannot avoid printing ML-defects that are first found on wafer, the paper shows what kind of reticle repair can be done to render the defects non-printable. 2. SUMMARY OF RESULTS OF THE PARENT PAPER 1 The main change of the working procedure has been to exploit the typical through-focus behavior of ML defects: ML bumps typically print most at negative focus, whereas ML pits print the strongest at positive focus, as predicted by Ref. 8. It was also found that the Sematech/Berkeley AIT showed the same behavior as found on wafer and confirmed the focus sign convention to be identical. Previous investigations 5 had only used wafer exposures done at best focus. This time the wafers were exposed with different focus settings, i.e., a number of dies for each focus. The exposure dose was fixed. Wafer inspection was done on AMAT s DUV laser based UVision4 WI tool, and the subsequent repeater analysis was carried out for each focus separately. In this way an additional number of printing defects was found. Subsequently focusing on defects that printed more severely out of focus was found an attractive way to narrow down to the candidates that could have been missed by the BI tool. This attempt was clearly successful. Already previously four examples have been shown 1, as obtained from two reticles. Here we restrict to give updated information. Erratum: Figures 6 to 9 in Ref. 1 refer to the incorrect Defect40FF reticle. A and B should be replaced by B and A respectively in each of these figure captions. Proc. of SPIE Vol E-2

3 -100nm Figure 1: Detailed analysis of a printing bump-type ML-defect that was missed during blank inspection on a M7360 (Reticle B, corresponding to figure 6 in Ref. 1). Top row, left: not visible in SEM, centre: top view as obtained by AFM, right: cross-sectional view in parallel and perpendicular direction to the lines, giving indications for height and width. This defect is re-estimated as a ~110nm wide, ~5 nm high bump. Bottom row: through-focus printing behavior on ADT. -100nm Figure 2: Detailed analysis of a second printing ML-defect that was missed during blank inspection on a M7360 (Reticle B, corresponding to figure 7 in Ref. 1). Previously it was not yet visualized by AFM, but Sematech/Berkeley AIT had confirmed its position information as accurate. Top row, left: not visible in SEM, centre: top view as obtained by AFM, right: cross-sectional view in parallel and perpendicular direction to the lines, giving indications for height and width. This defect is estimated as a ~140nm wide, ~3.5nm high bump. Bottom row: through-focus printing behavior on ADT. Proc. of SPIE Vol E-3

4 -100nm Figure 3: Detailed analysis of a first new (third total) printing ML-defect on Reticle A that was missed during blank inspection on a M7360. Top row, left: top view as obtained by AFM, right: cross-sectional view in parallel direction to the lines, giving indications for height and width. This defect is estimated as a ~100nm wide, ~3nm deep pit. Bottom row: through-focus printing behavior on ADT (Note: there is obviously no printing impact at negative focus). -100nm Figure 4: Detailed analysis of a second new (fourth total) printing ML-defect on Reticle A that was missed during blank inspection on a M7360. Top row, left: top view as obtained by AFM; right: cross-sectional view in parallel and perpendicular direction to the lines, view giving indications for height and width. This defect is estimated as a ~90nm wide, ~4.5nm deep. Bottom row: through-focus printing behaviour on ADT. Proc. of SPIE Vol E-4

5 3. ADDITIONAL RESULTS Figure 1 and 2 update the 2 defects shown in figures 6 and 7 in Ref. 1 for Defect40FF-B. They were our first evidence of blank defects that were found via the updated wafer inspection technique and not during the blank inspection on a Lasertec M7360. Both were not visible during mask review with SEM only. The defect of figure 7 could now also be visualized by AFM as a bump about 4nm high (see figure 2). Previously the position had been confirmed by Sematech/Berkeley AIT 1. As the defect of figure 1 it is also a bump, here with approximate height of 6nm. The throughfocus printing behavior, as included in Figs 1 and 2, illustrates that bumps typically print more severely at negative focus. For a the second reticle (Defect40FF-A) figures 8 and 9 in Ref. 1 already demonstrated two ML-defects as evidence of missers during blank inspection on the M7360. They were previously visualized as pits, respectively 4nm and 2nm deep. At the time of Ref. 1 there was still a set of further candidate ML defects missed during BI. Figure 3 and 4 detail the visualization of two more SEM non-visible ML-defects missed during BI. Also these have now been qualified as two pit defects, with a depth around 4nm. All 4 of these examples illustrate that pits typically print most severely at positive focus. The further candidate missers were found not to be of ML-type. Reticle A has dominantly pit-type of ML defects, while reticle B has mainly bump-type of defects. A more detailed analysis of all defects found on these two reticles, produced in the first half of 2009, gives the following results: On reticle A the total number of known defects is 117. Based on the correlation of all available results 42 were classified as ML-Type. This corresponds to a ML-defect density of 0.30/cm of those 42 were visualized by AFM as 1 bump and 18 pits. Of the latter 4 had been missed on M7360. The bump has no through-focus behavior, and is ~7-8nm high. The 18 pits all have through-focus behavior (typ nm high), except one (6nm deep), whereas one other, ~6nm deep, has through-focus behavior other ML-defect out of the known 42 that were not analyzed by AFM have been analyzed for through-focus printing behavior: 11 have a clear pit behavior (print more at positive focus), 3 have a rather flat through-focus behavior. On this reticle the number of pits in the total of ML-defects is estimated at least ~90%. On reticle B the number of known defects is 148. Based on the correlation of all available results 36 defects were classified as ML-type. This corresponds to a ML-defect density of 0.26/cm of those are SEM visible bumps with a height range 8nm 25nm. Just one of those one has a bump-typical through-focus behavior (and was found 15-20nm high). - 4 in 36 correlate to substrate inspection. Two are a pit and bump of 6-9nm depth, resp. height, but >~300nm wide. One is a 25nm high bump (~150nm laterally). The fourth was not reviewed in 36 are SEM non-visible. Two of those are the 6-9nm high/deep bump/pit in substrate inspection out of 26 others were visualized by AFM: 1 pit (2-3nm deep, through focus behavior), 15 bumps (*). Of the latter two were missed on M (*) Only 5 of those 15 print most at negative focus. Those typically were found 2-5nm high. Also some cases without pronounced printing at negative focus were found to have such shallow height. Others without are rather 5-8nm high out of 26 were not reviewed by AFM but checked for through-focus behavior: 2 are considered bumps (pronounced negative focus printing), 4 as pits, and 4 have a relatively flat (or somewhat irregular) throughfocus printing performance. On this reticle the number of pits in the total of ML-defects is estimated ~30% maximum, but probably rather ~15-20%. Additionally it was estimated why the M7360 might have missed these 6 ML-defects. For the analysis first the height, respectively depth, together with their lateral dimension is estimated from the AFM measurement. These data are then used to calculate the sphere equivalent diameter (SEVD) 14, as this is typically used as a measure for the sensitivity and capture rate of BI tools. For the ML defects that were detected on M7360 and that were visualized by AFM the minimum SEVD typically ranged between 35 and 40nm. The four M7360 missers on Reticle A (pits) include cases where the SEVD was estimated to as low as 25nm. For the two M7360 missers on reticle Reticle B (bumps)the SEVD was found in the range 50-55nm. Proc. of SPIE Vol E-5

6 4. CONCLUSIONS AND FINAL REMARKS In this extension of a previous publication 1, additional evidence was given for printing ML-defects, that were missed by the more advanced Lasertec M7360 blank inspection tool. The reticles used in this study were produced in early Our result has been achieved by a continued endeavor to further improve the total vision, by adding additional tools in the correlation between defect maps obtained by individual tools, and also by improved procedures. A clear example of the latter lies in the exploitation of the through-focus behavior of ML-defects, by the individual repeater analysis of the wafer inspection detection, made separately for each focus setting. This allowed collecting evidence for defects that could not be detected when only using exposures made at best focus. Most of our experimental data confirm the strongest printing behavior of bumps at negative focus, and for pits at the positive focus. Most of the ones without clearly pronounced printing behavior at a positive or negative focus are found typically deeper/higher than 5nm, although there are exceptions in both directions. At least no pit or bumps were found with most pronounced printing at opposite focus than expected. The M7360 missers are typically shallow and narrow pits or bumps, i.e., with height/depth and lateral size typically in the range 2-6nm and nm respectively. For a number of them the SEVD calculated based on the AFM data showed to be clearly lower than the smallest ones detected and visualized. It is expected that this also defines the class of printing ML-defects that is still missed by any technique. Unfortunately even best available WI still has limitations and is not yet capable of detecting all defects in the meaning of its definition: occurrences of CD deviations by more than 10%. Also the reader is reminded that we used 40nm hp printing, and one can logically expect that there is still a set of ML-defects that first prints at smaller half-pitch than the 40nm used here. Of the available blank inspection tools, clearly the 488nm - and 266nm wavelength based tools were not capable enough to detect all printing ML-defects. It is even more worry-some that for the M7360 this is at the cost of a relatively high nuisance rate of detections that cannot be confirmed to print 5. Two further candidate tool families are respectively using nm wavelength and actinic EUV wavelength. In view of the fact that the former is even more surface sensitive than those evaluated until now (because of the decreased penetration depth), and the fact that certain printing ML-defects may not even have surface topography, it is not unlikely that it can also miss printing ML-defects. Such evidence is not yet in place and is subject to further work. Neither could we include actinic blank inspection such as in Ref 12, but as it uses at least the same wavelength as the wafer scanner, it is less likely that it will fail to detect all printing ML-defects. These statements summarize our inspiration for future work. As a final conclusion this work shows an important limitation of state-of-the-art blank inspection: If it cannot detect ALL printing ML-defects and/or it is not capable of reaching a low enough false count rate, existing practices to deal with ML-defects by blank picking or design 11 will have too limited success. Yet, ML-defects not found in time (not on the blank, nor on the mask, but for the first time on printed wafer) likely can be overcome by compensation repair 6,10,. Such experimental work is presented separately at this conference 13. ACKNOWLEDGEMENTS The imec authors, as the steering party behind the reported activity, wish to explicitly express a warm thank you to their co-authors for bringing this work to such valuable level. That is truly due to the close collaboration. Imec and Carl Zeiss SMS GmbH are obliged to the Catrene Office and the local authorities (IWT and BM respectively) for the support to the EXEPT project, under which part of this work has been performed. At AMAT PDC the contributions by Moshe Rozentsvige, Robert Schreutelkamp, Gaetano Santoro, Shmoolik Mangan and Ilan Englard are very well appreciated. The authors are grateful to Kenneth Goldberg and Iacopo Mochi at Lawrence Berkeley National Laboratory (LBNL), Center for X-Ray Optics (CXRO), for their recommendations and for sharing their skills related to the application of the Sematech/Berkeley AIT in our work. At imec Rudi De Ruyter and Bart Baudemprez are acknowledged for their involvement. Proc. of SPIE Vol E-6

7 REFERENCES R. Jonckheere et al, Evidence of printing blank-related defects on EUV masks, missed by blank inspection, Proc. SPIE 7985, 31 (2011) R. Jonckheere et al, Mask defect printability in Full Field EUV Lithography Part 1, International Symposium on Extreme Ultraviolet Lithography, Sapporo (2007) R. Jonckheere et al, Mask defect printability in Full Field EUV Lithography Part 2, International Symposium on Extreme Ultraviolet Lithography, Lake Tahoe (2008) R. Jonckheere et al, Investigation of EUV Mask Defectivity via Full-Field Printing and Inspection on Wafer, Proc. SPIE 7379, (2009) D. Van den Heuvel et al, Natural EUV mask blank defects: evidence, timely detection, analysis and outlook, Proc. SPIE 7823, (2010) R. Jonckheere et al, Investigation of mask defect density in full field EUV lithography, International Symposium on Extreme Ultraviolet Lithography, Prague (2009) R. Jonckheere et al, Lessons Learned from Correlation between EUV Mask Inspection, Blank Inspection and Wafer Print Analysis, International Symposium on Extreme Ultraviolet Lithography, Prague (2009) Chris H. Clifford, Investigation of buried EUV mask defect printability using fast simulation at the 22nm and 16nm nodes, International Symposium on Extreme Ultraviolet Lithography, Prague (2009) D. Van den Heuvel et al, Comparison between existing inspection techniques for EUV mask defects, International Symposium on Extreme Ultraviolet Lithography, Kobe (2010) R. Jonckheere et al, EUV Mask Defectivity: Status and Mitigation Towards HVM, International Symposium on Extreme Ultraviolet Lithography, Kobe (2010) J. Burns et al, EUV mask defectivity mitigation through pattern placement, Proc SPIE 7823, (2010) T. Terasawa, Actinic phase defect detection and printability analysis for patterned EUVL mask, Proc. SPIE 7636, (2010) R. Jonckheere et al, Repair of natural EUV reticle defects, Proc. SPIE 8166, (to be published 2011) I.-Y. Kang et al, Printability and inspectability of programmed pit defects on the masks in EUV lithography, Proc. SPIE 7636, (2010) Proc. of SPIE Vol E-7

Techniques for removal of contamination from EUVL mask without surface damage

Techniques for removal of contamination from EUVL mask without surface damage Techniques for removal of contamination from EUVL mask without surface damage Sherjang Singh a*, Ssuwei Chen a, Tobias Wähler b, Rik Jonckheere c Ted Liang d, Robert J. Chen d, Uwe Dietze a a HamaTech

More information

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal

Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Utilization of AIMS Bossung plots to predict Qz height deviations from nominal Anthony Garetto 1, Doug Uzzel 2, Krister Magnusson 1, Jon Morgan 2, Gilles Tabbone 1 1 Carl Zeiss SMS, Carl-Zeiss-Promenade

More information

PROVE, the next generation registration metrology tool, status report

PROVE, the next generation registration metrology tool, status report PROVE, the next generation registration metrology tool, status report Dirk Beyer a, Patricia Gabella b, Greg Hughes b, Gerd Klose c, Norbert Rosenkranz a a Carl Zeiss SMS GmbH (Germany) Carl-Zeiss-Promenade

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

A Study of Haze Generation as Thin Film Materials

A Study of Haze Generation as Thin Film Materials A Study of Haze Generation as Thin Film Materials Ju-Hyun Kang, Han-Sun Cha*, Sin-Ju Yang, Chul-Kyu Yang, Jin-Ho Ahn*, Kee-Soo Nam, Jong-Min Kim**, Manish Patil**, Ik-Bum Hur** and Sang-Soo Choi** Blank

More information

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz*

AIMS EUV. Status of Concept and Feasibility Study. Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* AIMS EUV Status of Concept and Feasibility Study 20.10.2010 Ulrich Stroessner* Heiko Feldmann** Wolfgang Harnisch* Dirk Hellweg** Sascha Perlitz* *Carl Zeiss SMS GmbH, Jena,Germany **Carl Zeiss SMT GmbH,

More information

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization

New 3-Dimensional AFM for CD Measurement and Sidewall Characterization New 3-Dimensional AFM for CD Measurement and Sidewall Characterization ASTRACT Yueming Hua *, Cynthia uenviaje-coggins Park Systems Inc. 34 Olcott St. Santa Clara, CA 9554, USA Yong-ha Lee, Jung-min Lee,

More information

EUV Source Technology: Challenges and Status

EUV Source Technology: Challenges and Status Chapter 1 EUV Source Technology: Challenges and Status Vivek Bakshi Contents 1.1 Introduction 4 1.2 Conversion Efficiency of EUV Sources 4 1.2.1 DPP versus LPP 4 1.2.2 Xe, Sn, and Li conversion efficiency

More information

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure

RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure RELAX: Resolution Enhancement by Laser-spectrum Adjusted Exposure Ivan Lalovic a+, Nigel Farrar* b, Kazuhiro Takahashi c, Eric Kent a, Daniel Colon b, German Rylov b, Alden Acheta a, Koji Toyoda d, Harry

More information

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b

Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b Analysis of the Effect of Laser Bandwidth on Imaging of Memory Patterns Nakgeuon Seong a, Insung Kim b, Dongwoo Kang b, Sang-Ho Lee b, Jinphil Choi b a Cymer Inc. 77 Thornmint Ct., San Diego, CA 97 USA

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating

Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Atomic Force Microscopy Observation and Characterization of a CD Stamper, Lycopodium Spores, and Step-Height Standard Diffraction Grating Michael McMearty and Frit Miot Special Thanks to Brendan Cross

More information

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO

Cymer s Light Source Development for EUV Lithography. Bob Akins, Chairman & CEO Cymer s Light Source Development for EUV Lithography Bob Akins, Chairman & CEO Outline Introduction EUVL critical issues EUV sources Cymer Source Development Overview Power scaling Technology Outlook January

More information

The Layman's Guide to ANSI, CEN, and ISO Bar Code Print Quality Documents

The Layman's Guide to ANSI, CEN, and ISO Bar Code Print Quality Documents The Layman's Guide to ANSI, CEN, and ISO Bar Code Print Quality Documents AIM, Inc. 634 Alpha Drive Pittsburgh, PA 15238-2802 Phone: +1 412 963 8588 Fax: +1 412 963 8753 Web: www.aimglobal.org This Guideline

More information

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications

Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Advancements in High Frequency, High Resolution Acoustic Micro Imaging for Thin Silicon Applications Janet E. Semmens Sonoscan, Inc. 2149 E. Pratt Boulevard Elk Grove Village, IL 60007 USA Phone: (847)

More information

Measuring Line Edge Roughness: Fluctuations in Uncertainty

Measuring Line Edge Roughness: Fluctuations in Uncertainty Tutor6.doc: Version 5/6/08 T h e L i t h o g r a p h y E x p e r t (August 008) Measuring Line Edge Roughness: Fluctuations in Uncertainty Line edge roughness () is the deviation of a feature edge (as

More information

Studies on Pore Systems in Catalysts

Studies on Pore Systems in Catalysts JOURNAL OF CATALYSIS 4, 319323 (1965) Studies on Pore Systems in Catalysts V. The t Method B. C. LIPPENS* AND J. H. DE BOER From the Department of Chemical Technology, Technological University of Delft,

More information

Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated testing of square and round bars

Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated testing of square and round bars 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic Phased-Array ROWA-SPA: High-performance testing machine for combined, 100-percent automated

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

INFITEC - A NEW STEREOSCOPIC VISUALISATION TOOL BY WAVELENGTH MULTIPLEX IMAGING

INFITEC - A NEW STEREOSCOPIC VISUALISATION TOOL BY WAVELENGTH MULTIPLEX IMAGING INFITEC - A NEW STEREOSCOPIC VISUALISATION TOOL BY WAVELENGTH MULTIPLEX IMAGING Helmut Jorke, Markus Fritz INFITEC GmbH, Lise-Meitner-Straße 9, 89081 Ulm info@infitec.net Phone +49 731 550299 56 Fax _

More information

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions

Scanning Surface Inspection System with Defect-review SEM and Analysis System Solutions Scanning Surface Inspection System with -review SEM and Analysis System Solutions 78 Scanning Surface Inspection System with -review SEM and Analysis System Solutions Hideo Ota Masayuki Hachiya Yoji Ichiyasu

More information

ASML EUV Program. Jos Benschop Vice President System Engineering & Research. <file name> <version 00> <author> / Slide 1

ASML EUV Program. Jos Benschop Vice President System Engineering & Research. <file name> <version 00> <author> / Slide 1 ASML EUV Program Jos Benschop Vice President System Engineering & Research / Slide 1 Agenda ASML EUV tool roadmap Progress in key risk areas Source Optics Mask handling

More information

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson

Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson Photomask SBU: 65nm Dry Etch has Arrived! Michael D. Archuletta Dr. Chris Constantine Dr. Dave Johnson What s New in Lithography? Wafer dimensions are still accelerating downward towards ever smaller features

More information

To meet the requirements of demanding new

To meet the requirements of demanding new Optimising LED manufacturing LED manufacturers seek new methods to reduce manufacturing costs and improve productivity in an increasingly demanding market. Tom Pierson, Ranju Arya, Columbine Robinson of

More information

Developments in Photoluminescence Characterisation for Silicon PV

Developments in Photoluminescence Characterisation for Silicon PV Developments in Photoluminescence Characterisation for Silicon PV School of Photovoltaic and Solar Energy Engineering Bernhard Mitchell 1, Thorsten Trupke 1,2, Jürgen W. Weber 2, Johannes Greulich 3, Matthias

More information

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh

We know how to write nanometer. extreme lithography. extreme lithography. xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh extreme lithography extreme lithography xlith Gesellschaft für Hochauflösende Lithografie Support & Consulting mbh Wilhelm-Runge-Str. 11 89081 Ulm Germany phone +49 731 505 59 00 fax +49 731 505 59 05

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm

DETECTION OF SUBSURFACE DAMAGE IN OPTICAL TRANSPARENT MATERIALSS USING SHORT COHERENCE TOMOGRAPHY. Rainer Boerret, Dominik Wiedemann, Andreas Kelm URN (Paper): urn:nbn:de:gbv:ilm1-2014iwk-199:0 58 th ILMENAU SCIENTIFIC COLLOQUIUM Technische Universität Ilmenau, 08 12 September 2014 URN: urn:nbn:de:gbv:ilm1-2014iwk:3 DETECTION OF SUBSURFACE DAMAGE

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

SpeedLight 2D. for efficient production of printed circuit boards

SpeedLight 2D. for efficient production of printed circuit boards laser direct imaging SpeedLight 2D laser direct imaging platform for efficient production of printed circuit boards MANZ AG /// Manz SpeedLight 2D /// 2 History of the development of Manz SpeedLight 2D

More information

Stock Preparation Division: The C-bar screen basket a high-tech product

Stock Preparation Division: The C-bar screen basket a high-tech product 14 Stock Preparation Division: The C-bar screen basket a high-tech product According to an old saying: paper is made in the Holländer. Despite the common opinion that paper mills only contain paper machines,

More information

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system

Measurement results on after etch resist coated features on the new Leica Microsystems LWM270 DUV critical dimension metrology system Measurement results on after etch resist coated features on the new Leica Microsystems LWM27 DUV critical dimension metrology system John Whittey Leica Microsystems Inc. 1761 Dixon Road, Oakdale, CA 95361

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division Corning HPFS 7979, 7980, 8655 Fused Silica Optical Materials Product Information Specialty Materials Division HPFS 7979, 7980 and 8655 Fused Silica HPFS Fused Silica glasses are known throughout the industry

More information

Enhancement of Breakdown Strength and Energy Density in

Enhancement of Breakdown Strength and Energy Density in Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Enhancement of Breakdown Strength and Energy Density in

More information

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope

Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe. Keywords: Carbon Nanotube, Scanning Probe Microscope International Journal of Arts and Sciences 3(1): 18-26 (2009) CD-ROM. ISSN: 1944-6934 InternationalJournal.org Usage of Carbon Nanotubes in Scanning Probe Microscopes as Probe Bedri Onur Kucukyildirim,

More information

Mathematics (Project Maths Phase 1)

Mathematics (Project Maths Phase 1) 2012. M128 S Coimisiún na Scrúduithe Stáit State Examinations Commission Leaving Certificate Examination, 2012 Sample Paper Mathematics (Project Maths Phase 1) Paper 2 Ordinary Level Time: 2 hours, 30

More information

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA

Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie. Arizona 85721, USA. Arizona 85721, USA Effect of Dissolved CO 2 in De-ionized Water in Reducing Wafer Damage During Megasonic Cleaning in MegPie S. Kumari a, M. Keswani a, S. Singh b, M. Beck c, E. Liebscher c, L. Q. Toan d and S. Raghavan

More information

Encoders for Linear Motors in the Electronics Industry

Encoders for Linear Motors in the Electronics Industry Technical Information Encoders for Linear Motors in the Electronics Industry The semiconductor industry and automation technology increasingly require more precise and faster machines in order to satisfy

More information

AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS

AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS AN EXPERT SYSTEM TO ANALYZE HOMOGENEITY IN FUEL ELEMENT PLATES FOR RESEARCH REACTORS Cativa Tolosa, S. and Marajofsky, A. Comisión Nacional de Energía Atómica Abstract In the manufacturing control of Fuel

More information

v = fλ PROGRESSIVE WAVES 1 Candidates should be able to :

v = fλ PROGRESSIVE WAVES 1 Candidates should be able to : PROGRESSIVE WAVES 1 Candidates should be able to : Describe and distinguish between progressive longitudinal and transverse waves. With the exception of electromagnetic waves, which do not need a material

More information

Development of Light Sources for Lithography at Present and for the Future

Development of Light Sources for Lithography at Present and for the Future Technical Paper Development of Light Sources for Lithography at Present and for the Future Hakaru Mizoguchi Takashi Saitoh Takashi Matsunaga In projection reduction photolithography processes, the heart

More information

Hedge Fund Returns: You Can Make Them Yourself!

Hedge Fund Returns: You Can Make Them Yourself! Hedge Fund Returns: You Can Make Them Yourself! Harry M. Kat * Helder P. Palaro** This version: June 8, 2005 Please address all correspondence to: Harry M. Kat Professor of Risk Management and Director

More information

Computer simulation of coating processes with monochromatic monitoring

Computer simulation of coating processes with monochromatic monitoring Computer simulation of coating processes with monochromatic monitoring A. Zöller, M. Boos, H. Hagedorn, B. Romanov Leybold Optics GmbH, Siemensstrasse 88, 655 Alzenau, Germany ABSTRACT For the production

More information

SPECIFICATION Aluminum Module Frames. Allowed anodization before fabrication for clear frames.

SPECIFICATION Aluminum Module Frames. Allowed anodization before fabrication for clear frames. SPECIFICATION Allowed anodization before fabrication for clear frames. Page 2 of 9 1. SCOPE 1.1. This document provides general requirements for aluminum frames used in the assembly of photovoltaic modules.

More information

Plastic Film Texture Measurement With 3D Profilometry

Plastic Film Texture Measurement With 3D Profilometry Plastic Film Texture Measurement With 3D Profilometry Prepared by Jorge Ramirez 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Crimp Tooling Where Form Meets Function

Crimp Tooling Where Form Meets Function Crimp Tooling Where Form Meets Function Quality, cost, and throughput are key attributes for any production process. The crimp termination process is no exception. Many variables contribute to the results.

More information

Using the Normalized Image Log-Slope, part 3

Using the Normalized Image Log-Slope, part 3 T h e L i t h o g r a p h y E x p e r t (Summer 2001) Using the Normalized Image Log-Slope, part 3 Chris A. Mack, FINLE Technologies, A Division of KLA-Tencor, Austin, Texas As we saw in parts 1 and 2

More information

... complement Information for Processing

... complement Information for Processing AZ nlof 2xx Negative Resist... complement Information for Processing revised 25--7 General Information AZ nlof 2xx is a family of negative s, with the exposed remaining on the substrate after development.

More information

Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes:

Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes: What is AOI? Automated Optical Inspection is one of many manufacturing test methods common in the assembly of printed circuit boards. This list includes: Test methods for electronic assemblies: - FT (Functional

More information

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Basic Properties of a Digital Design These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption Which of these criteria is important

More information

Volumes. Goal: Drive optical to high volumes and low costs

Volumes. Goal: Drive optical to high volumes and low costs First Electrically Pumped Hybrid Silicon Laser Sept 18 th 2006 The information in this presentation is under embargo until 9/18/06 10:00 AM PST 1 Agenda Dr. Mario Paniccia Director, Photonics Technology

More information

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS

bulk 5. Surface Analysis Why surface Analysis? Introduction Methods: XPS, AES, RBS 5. Surface Analysis Introduction Methods: XPS, AES, RBS Autumn 2011 Experimental Methods in Physics Marco Cantoni Why surface Analysis? Bulk: structural function Electrical/thermal conduction Volume increases

More information

Excimer Laser Solutions

Excimer Laser Solutions Excimer Laser Solutions Ultraviolet Excimer Laser Applications Superior Reliability & Performance Enabling Industrial Applications with Excimer Technology for Over 30 Years FPD Silicon Annealing ELA and

More information

Photolithography (source: Wikipedia)

Photolithography (source: Wikipedia) Photolithography (source: Wikipedia) For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (also called

More information

Enhancing label print quality with UV pinning

Enhancing label print quality with UV pinning UV Curing Solutions for the Industrial Print Market White Paper On: Enhancing label print quality with UV pinning The challenge: Overcome the wetting problems that were causing UV-curable inks to spread

More information

Survey Results and Further Issues in Construction Automation Education

Survey Results and Further Issues in Construction Automation Education 327 Survey Results and Further Issues in Construction Automation Education Dr. R. Navon Lecturer, Faculty of Civil Engineering Senior Research Engineer, National Building Research Institute Technion -

More information

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light

AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light AP Physics B Ch. 23 and Ch. 24 Geometric Optics and Wave Nature of Light Name: Period: Date: MULTIPLE CHOICE. Choose the one alternative that best completes the statement or answers the question. 1) Reflection,

More information

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society

MACHINE VISION FOR SMARTPHONES. Essential machine vision camera requirements to fulfill the needs of our society MACHINE VISION FOR SMARTPHONES Essential machine vision camera requirements to fulfill the needs of our society INTRODUCTION With changes in our society, there is an increased demand in stateof-the art

More information

Longwave IR focal-plane binary optics

Longwave IR focal-plane binary optics Longwave IR focal-plane binary optics Z. Sikorski, H. Polakowski Institute of Optoelectronics, Military University of Technology, 2 Kaliskiego Str., -98 Warsaw, e-mail: zsikorsk@wat.waw.pl Abstract In

More information

SEM/FIB Workbench. Klocke Nanotechnik. Microtechnology Network. Motion from the Nanoworld. One of 279 members in a. Pascalstr. 17 Aachen, Germany

SEM/FIB Workbench. Klocke Nanotechnik. Microtechnology Network. Motion from the Nanoworld. One of 279 members in a. Pascalstr. 17 Aachen, Germany Intro_0 SEM/FIB Workbench Motion from the Nanoworld One of 279 members in a Pascalstr. 17 Aachen, Germany Microtechnology Network Centimeter Stroke Atomic Resolution Modular Nanorobotics Modular Nanorobotics

More information

MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2

MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2 MEASUREMENT OF END FACE GEOMETRY ON FIBER OPTIC TERMINI...2 IMPORTANCE OF END FACE GEOMETRY...2 FIBER OPTIC CONNECTOR END FACE GEOMETRY MEASUREMENT TECHNIQUES...2 INTERFEROMETRIC MICROSCOPE TYPES...3 MEASUREMENT

More information

X-ray thin-film measurement techniques

X-ray thin-film measurement techniques Technical articles X-ray thin-film measurement techniques II. Out-of-plane diffraction measurements Toru Mitsunaga* 1. Introduction A thin-film sample is two-dimensionally formed on the surface of a substrate,

More information

Sviluppo di componenti per sottosistemi ottici basati su ottiche elettroformate altamente asferiche per applicazioni Spazio e Difesa

Sviluppo di componenti per sottosistemi ottici basati su ottiche elettroformate altamente asferiche per applicazioni Spazio e Difesa EMSOM Sviluppo di componenti per sottosistemi ottici basati su ottiche elettroformate altamente asferiche per applicazioni Spazio e Difesa Workshop Terzo bando P.M.I. Tema Materiali, Componenti e Sensori

More information

WAVELENGTH OF LIGHT - DIFFRACTION GRATING

WAVELENGTH OF LIGHT - DIFFRACTION GRATING PURPOSE In this experiment we will use the diffraction grating and the spectrometer to measure wavelengths in the mercury spectrum. THEORY A diffraction grating is essentially a series of parallel equidistant

More information

IHSS-N1 WELDED HONEYCOMB CORE SPECIFICATION. Generated: Sergiy Papyshev Engineering. Approved: Don Prysi Manufacturing. Approved: Merzuk Ramic Quality

IHSS-N1 WELDED HONEYCOMB CORE SPECIFICATION. Generated: Sergiy Papyshev Engineering. Approved: Don Prysi Manufacturing. Approved: Merzuk Ramic Quality IHSS-N1 WELDED HONEYCOMB CORE SPECIFICATION Generated: Sergiy Papyshev Engineering Approved: Don Prysi Manufacturing Approved: Merzuk Ramic Quality Approved: Steven Barnett Administrative DATE DATE DATE

More information

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts

Electron Microscopy 3. SEM. Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts Electron Microscopy 3. SEM Image formation, detection, resolution, signal to noise ratio, interaction volume, contrasts 3-1 SEM is easy! Just focus and shoot "Photo"!!! Please comment this picture... Any

More information

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML 2015 Third Quarter Results Veldhoven, the Netherlands Forward looking statements

More information

METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS USING MORE THAN ONE TARGET

METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS USING MORE THAN ONE TARGET Laser Physics 0 International Journal of Modern Physics: Conference Series Vol. 5 (0) 70 78 World Scientific Publishing Company DOI: 0.4/S009450078 METHODS FOR PULSED LASER DEPOSITION OF LARGE-AREA FILMS

More information

Defect studies of optical materials using near-field scanning optical microscopy and spectroscopy

Defect studies of optical materials using near-field scanning optical microscopy and spectroscopy UCRL-ID-142178 Defect studies of optical materials using near-field scanning optical microscopy and spectroscopy M. Yan, J. McWhirter, T. Huser, W. Siekhaus January, 2001 U.S. Department of Energy Laboratory

More information

Application Example: Rapid Manufacturing. Toolmaking: Milling on digitized data, Casted Blanks

Application Example: Rapid Manufacturing. Toolmaking: Milling on digitized data, Casted Blanks Application Example: Rapid Manufacturing Toolmaking: Milling on digitized data, Casted Blanks Measuring Systems: ATOS Keywords: casted blanks, stamping process, cutter path, toolmaking Big tools or dies

More information

Report of the Spectral Irradiance Comparison EURAMET.PR-K1.a.1 between MIKES (Finland) and NIMT (Thailand)

Report of the Spectral Irradiance Comparison EURAMET.PR-K1.a.1 between MIKES (Finland) and NIMT (Thailand) Report of the Spectral Irradiance Comparison EURAMET.PR-K1.a.1 between MIKES (Finland) and NIMT (Thailand) M. Ojanen 1, M. Shpak 1, P. Kärhä 1, R. Leecharoen 2, and E. Ikonen 1,3 1 Helsinki University

More information

Reflection and Refraction

Reflection and Refraction Equipment Reflection and Refraction Acrylic block set, plane-concave-convex universal mirror, cork board, cork board stand, pins, flashlight, protractor, ruler, mirror worksheet, rectangular block worksheet,

More information

Motion Sensing without Sensors: Information. Harvesting from Signal Strength Measurements

Motion Sensing without Sensors: Information. Harvesting from Signal Strength Measurements Motion Sensing without Sensors: Information Harvesting from Signal Strength Measurements D. Puccinelli and M. Haenggi Department of Electrical Engineering University of Notre Dame Notre Dame, Indiana,

More information

Latex 3000 Tips and Tricks

Latex 3000 Tips and Tricks Latex 3000 Tips and Tricks Getting the best results with self-adhesive vinyl on the HP Latex 3000 printer Self-adhesive vinyl (SAV), otherwise known as pressure-sensitive adhesive (PSA) vinyl, or simply

More information

DTIC. 7lt 0t(6 o0 o 0 AD-A25 3 466. $i Quarterly Progress Report. Grantee

DTIC. 7lt 0t(6 o0 o 0 AD-A25 3 466. $i Quarterly Progress Report. Grantee AD-A25 3 466 $i Quarterly Progress Report for Design and Packaging of Fault Tolerant Optoelectronic Multiprocessor Computing Systems Sponsored by Defense Advanced Research Projects Agency Monitored by

More information

Metrology of silicon photovoltaic cells using coherence correlation interferometry

Metrology of silicon photovoltaic cells using coherence correlation interferometry Loughborough University Institutional Repository Metrology of silicon photovoltaic cells using coherence correlation interferometry This item was submitted to Loughborough University's Institutional Repository

More information

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool

Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Ultra line narrowed injection lock laser light source for higher NA ArF immersion lithography tool Toru Suzuki* a, Kouji Kakizaki**, Takashi Matsunaga*, Satoshi Tanaka**, Masashi Shinbori**, Masaya Yoshino**,

More information

Acoustic GHz-Microscopy: Potential, Challenges and Applications

Acoustic GHz-Microscopy: Potential, Challenges and Applications Acoustic GHz-Microscopy: Potential, Challenges and Applications A Joint Development of PVA TePLa Analytical Systems GmbH and Fraunhofer IWM-Halle Dr. Sebastian Brand (Ph.D.) Fraunhofer CAM Fraunhofer Institute

More information

A whitepaper on: Invisible and Fluorescing Bar Code Printing and Reading

A whitepaper on: Invisible and Fluorescing Bar Code Printing and Reading A whitepaper on: Invisible and Fluorescing Bar Code Printing and Reading Important technical considerations that are the key to successful implementations Version 1.03 P a g e 2 OVERVIEW Background: Inks

More information

Module 13 : Measurements on Fiber Optic Systems

Module 13 : Measurements on Fiber Optic Systems Module 13 : Measurements on Fiber Optic Systems Lecture : Measurements on Fiber Optic Systems Objectives In this lecture you will learn the following Measurements on Fiber Optic Systems Attenuation (Loss)

More information

POWDER PROPERTIES LABORATORY

POWDER PROPERTIES LABORATORY Ground Rules POWDER PROPERTIES LABORATORY You will work as a team of no more than 6 students. At the end of this laboratory session each team will turn in a single report. The report will be reviewed,

More information

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology

1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology 1700V Bi-Mode Insulated Gate Transistor (BIGT) on Thin Wafer Technology Munaf Rahimo, Jan Vobecky, Chiara Corvasce ISPS, September 2010, Prague, Czech Republic Copyright [2010] IEEE. Reprinted from the

More information

Ultra-High Density Phase-Change Storage and Memory

Ultra-High Density Phase-Change Storage and Memory Ultra-High Density Phase-Change Storage and Memory by Egill Skúlason Heated AFM Probe used to Change the Phase Presentation for Oral Examination 30 th of May 2006 Modern Physics, DTU Phase-Change Material

More information

ASSESSMENT OF VISUALIZATION SOFTWARE FOR SUPPORT OF CONSTRUCTION SITE INSPECTION TASKS USING DATA COLLECTED FROM REALITY CAPTURE TECHNOLOGIES

ASSESSMENT OF VISUALIZATION SOFTWARE FOR SUPPORT OF CONSTRUCTION SITE INSPECTION TASKS USING DATA COLLECTED FROM REALITY CAPTURE TECHNOLOGIES ASSESSMENT OF VISUALIZATION SOFTWARE FOR SUPPORT OF CONSTRUCTION SITE INSPECTION TASKS USING DATA COLLECTED FROM REALITY CAPTURE TECHNOLOGIES ABSTRACT Chris Gordon 1, Burcu Akinci 2, Frank Boukamp 3, and

More information

LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516

LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516 LASER ENGRAVING REFLECTIVE METALS TO CREATE SCANNER READABLE BARCODES Paper P516 Paul M Harrison, Jozef Wendland, Matthew Henry Powerlase Ltd, Imperial House, Link 10, Napier Way, Crawley, West Sussex,

More information

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules

High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules High Resolution Spatial Electroluminescence Imaging of Photovoltaic Modules Abstract J.L. Crozier, E.E. van Dyk, F.J. Vorster Nelson Mandela Metropolitan University Electroluminescence (EL) is a useful

More information

MONITORING THE GPR RESPONSE OF CURING CONCRETE T. De Souza 1, A.P. Annan 1, J.D. Redman 1, and N. Hu 1 1 Sensors & Software Inc., Mississauga, Canada

MONITORING THE GPR RESPONSE OF CURING CONCRETE T. De Souza 1, A.P. Annan 1, J.D. Redman 1, and N. Hu 1 1 Sensors & Software Inc., Mississauga, Canada MONITORING THE GPR RESPONSE OF CURING CONCRETE T. De Souza 1, A.P. Annan 1, J.D. Redman 1, and N. Hu 1 1 Sensors & Software Inc., Mississauga, Canada Abstract: Ground penetrating radar (GPR) is becoming

More information

Oakland Macomb Interceptor Drain Rehabilitation State Revolving Fund Project Plan Overview. Public Meeting June 10, 2009

Oakland Macomb Interceptor Drain Rehabilitation State Revolving Fund Project Plan Overview. Public Meeting June 10, 2009 State Revolving Fund Project Plan Overview Public Meeting June 10, 2009 What is the OMI? The OMI is the Oakland Macomb Interceptor Sewer. The OMI transports much of the sanitary sewage from Oakland and

More information

Application Report: Running µshape TM on a VF-20 Interferometer

Application Report: Running µshape TM on a VF-20 Interferometer : Running µshape TM on a VF-20 Interferometer General This report describes how a fiber interferometer from Arden Photonics Ltd was used together with the µshape TM Generic software package. The VF-20

More information

Fixing Dental Implant Component Failure and Fracture: Retrieving the Fractured Implant Abutment Screw. Charles A. Mastrovich, DDS

Fixing Dental Implant Component Failure and Fracture: Retrieving the Fractured Implant Abutment Screw. Charles A. Mastrovich, DDS Fixing Dental Implant Component Failure and Fracture: Retrieving the Fractured Implant Abutment Screw Charles A. Mastrovich, DDS cmastrovichdds@gmail.com www.mastrovichdental.com Evaluating component failure

More information

Fast online inverse scattering with reduced basis method (RBM) for a 3D phase grating with specific line roughness

Fast online inverse scattering with reduced basis method (RBM) for a 3D phase grating with specific line roughness Fast online inverse scattering with reduced basis method (RBM) for a 3D phase grating with specific line roughness Bernd H. Kleemann a, Julian Kurz b, Jochen Hetzler c, Jan Pomplun de, Sven Burger de,

More information

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2015 SUSS MICROTEC INVESTOR PRESENTATION November 2015 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial performance and earnings of SUSS MicroTec AG and its

More information

Volume of Right Prisms Objective To provide experiences with using a formula for the volume of right prisms.

Volume of Right Prisms Objective To provide experiences with using a formula for the volume of right prisms. Volume of Right Prisms Objective To provide experiences with using a formula for the volume of right prisms. www.everydaymathonline.com epresentations etoolkit Algorithms Practice EM Facts Workshop Game

More information

Pipeline External Corrosion Analysis Using a 3D Laser Scanner

Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pipeline Technology Conference 2013 Pipeline External Corrosion Analysis Using a 3D Laser Scanner Pierre-Hugues ALLARD, Charles MONY Creaform, www.creaform3d.com 5825 rue St-Georges, Lévis (QC), Canada,

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT MultiWave Hybrid Laser Processing of Micrometer Scale Features for Flexible Electronics Applications J. Hillman, Y. Sukhman, D. Miller, M. Oropeza and C. Risser Universal Laser Systems, 7845 E. Paradise

More information

Assessment of Camera Phone Distortion and Implications for Watermarking

Assessment of Camera Phone Distortion and Implications for Watermarking Assessment of Camera Phone Distortion and Implications for Watermarking Aparna Gurijala, Alastair Reed and Eric Evans Digimarc Corporation, 9405 SW Gemini Drive, Beaverton, OR 97008, USA 1. INTRODUCTION

More information

DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings

DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings DURABILITY OF MORTAR LININGS IN DUCTILE IRON PIPES Durability of mortar linings I. S. MELAND SINTEF Civil and Environmental Engineering, Cement and Concrete, Trondheim, Norway Durability of Building Materials

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information