Lessons learned from Run2 C-RORC/Clusterfinder Development

Size: px
Start display at page:

Download "Lessons learned from Run2 C-RORC/Clusterfinder Development"

Transcription

1 Lessons learned from Run2 C-RORC/Clusterfinder Development Heiko Engel

2 C-RORC Hardware Timeline Kickoff Meeting Start PCB Layout Purchase Preparations Pre-Series Boards done Schematics done First Prototypes MS done IT started No (real) technical / hardware problems Only administrative / contractual delays MS: Market Survey IT: Invitation to Tender ATLAS joins 2 nd batch Prototypes Production Contract Series Production completed

3 C-RORC Hardware Timeline Virtex-6 was available as Engineering Sample when the project started In the meantime: Stratix-V Arria-10 (Stratix-10) Kintex-7 Virtex-7 (Ultrascale) FPGA was outdated before first C-RORC was produced Support countless hours of preparing framework of firmware, driver, testapps,... to verify correct hardware behavior manufacturer support & user support

4 Cluster Finder in VHDL Online pre-processing of TPC data in the RORC FPGA significant performance edge over software implementation data compression factor Run1: ~ 1.3 potentially higher: move SW compression into FW FPGA resource usage for single Cluster Finder instance Fast Cluster Finder Post-Synthesis Results H-RORC RCU1 (Virtex-4 / DDL1 / 166 MHz) C-RORC RCU1 (Virtex-6 / DDL1 / 160 MHz) C-RORC RCU2 (Virtex-6 / DDL2 / 320 MHz) LUTs ~ (LUT4) ~ (LUT6) ~ (LUT6) FFs ~ ~ ~ BRAMs 39 (RAMB16) 19 (RAMB36) 15 (RAMB36) DSPs % FPGA Resources ~ 30 % ~ 5 % ~ 8 %

5 Scaling Problem Resources available in FPGAs V7 UltraScale 440 MPC-X (4x Stratix-V) V7-V2000 Arria10 Stratix-V V6-LX760 V6-LX240 (C-RORC) V4-LX40 (H-RORC) ClusterFinder Instance Million FlipFlops Development took full PhD Data processing capabilities with VHDL/Verilog don't scale with device capabilities lack of PhDs and time ;) definitely a lack of code maintainability!...you don't write analysis code in Assembler, don't you?

6 Higher-Level Data Processing There are frameworks available that allow a description of hardware on a higher level We are evaluating dataflow computing: describe dataflow in a Java-like syntax compiler creates a dataflow graph and a big pipeline generating a >1000 stage pipeline is no problem for a compiler hand-writing the same thing correctly is nearly impossible compiler runs coregen/megawiz, creates VHDL and runs regular vendor tools compiler handles flow control & latency adjustments data-valid, enable, full, empty, write-to/read-from FIFO etc... comes with a full environment of device driver, PCIe/IB API and hardware building blocks can integrate custom HDL

7 Dataflow Example Example Dataflow Description: last step in Clusterfinder, floating point division same in VHDL: obvious what to do! how much code will it be? how long will it take you to write and verify it?...now change fixed-point precision of input vectors...

8 Clusterfinder in Dataflow Description Reference VHDL Implementation: RCU1 FCF Resource Usage: VHDL Dataflow Registers ~ ~ LUTs ~ ~ RAMs DSPs 0 8 Lines of Code ~10k ~2.3k Comparable resource usage significantly smaller code base much easier to maintain build for different FPGAs without changing the code

9 Summary Avoid custom board development if possible Outdated before produced Don't underestimate hardware verification/support Use commercial hardware, protocols & interfaces get rid of custom interfaces where possible Keep an eye on higher-level hardware description OpenSPL, Xilinx Vivado HLS, Altera OpenCL,... Vendors provide supported hardware, evaluation boards,... supported hardware likely has PCIe but unlikely *TCA

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Vivado Design Suite Tutorial

Vivado Design Suite Tutorial Vivado Design Suite Tutorial High-Level Synthesis UG871 (v2012.2) August 20, 2012 Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and

More information

Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015

Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015 Xilinx 7 Series FPGA Power Benchmark Design Summary May 15 Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite Modem Edge QAM AVB Switcher

More information

Intel Xeon +FPGA Platform for the Data Center

Intel Xeon +FPGA Platform for the Data Center Intel Xeon +FPGA Platform for the Data Center FPL 15 Workshop on Reconfigurable Computing for the Masses PK Gupta, Director of Cloud Platform Technology, DCG/CPG Overview Data Center and Workloads Xeon+FPGA

More information

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab FPGA Accelerator Virtualization in an OpenPOWER cloud Fei Chen, Yonghua Lin IBM China Research Lab Trend of Acceleration Technology Acceleration in Cloud is Taking Off Used FPGA to accelerate Bing search

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

How To Design An Image Processing System On A Chip

How To Design An Image Processing System On A Chip RAPID PROTOTYPING PLATFORM FOR RECONFIGURABLE IMAGE PROCESSING B.Kovář 1, J. Kloub 1, J. Schier 1, A. Heřmánek 1, P. Zemčík 2, A. Herout 2 (1) Institute of Information Theory and Automation Academy of

More information

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller PLC2 FPGA Days June 20, 2012 Stuttgart Martin Heimlicher FPGA Solution Center Content Enclustra Company Profile USB 3.0 Overview What is new?

More information

Greg Stitt Assistant Professor of ECE University of Florida

Greg Stitt Assistant Professor of ECE University of Florida FPGA Virtualization Strategies for Mainstream High-level Synthesis HLS4HPC Workshop: HIPEAC 2013 Greg Stitt Assistant Professor of ECE University of Florida PhD Students: James Coole, Aaron Landy, Robert

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

Low-latency data acquisition to GPUs using FPGA-based 3rd party devices. Denis Perret, LESIA / Observatoire de Paris

Low-latency data acquisition to GPUs using FPGA-based 3rd party devices. Denis Perret, LESIA / Observatoire de Paris Low-latency data acquisition to s using FPGA-based 3rd party devices Denis Perret, LESIA / Observatoire de Paris RTC_4_AO workshop PARIS 2016 RTC for ELT AO Deformable Mirror Sensors 40Ge Network high

More information

HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring

HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring CESNET Technical Report 2/2014 HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring VIKTOR PUš, LUKÁš KEKELY, MARTIN ŠPINLER, VÁCLAV HUMMEL, JAN PALIČKA Received 3. 10. 2014 Abstract

More information

CFD Implementation with In-Socket FPGA Accelerators

CFD Implementation with In-Socket FPGA Accelerators CFD Implementation with In-Socket FPGA Accelerators Ivan Gonzalez UAM Team at DOVRES FuSim-E Programme Symposium: CFD on Future Architectures C 2 A 2 S 2 E DLR Braunschweig 14 th -15 th October 2009 Outline

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Two Binary Algorithms for Calculating the Jacobi Symbol and a Fast Systolic Implementation in Hardware

Two Binary Algorithms for Calculating the Jacobi Symbol and a Fast Systolic Implementation in Hardware Two Binary Algorithms for Calculating the Jacobi Symbol and a Fast Systolic Implementation in Hardware George Purdy, Carla Purdy, and Kiran Vedantam ECECS Department, University of Cincinnati, Cincinnati,

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes)

Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes) Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes) On behalf of the RCU2 collaboration: Johan Alme (johan.alme@hib.no) FPGA Forum 2015, Trondheim 11. 12. Februar 2015 Outline This is

More information

9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY

9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY 9 REASONS WHY THE VIVADO DESIGN SUITE ACCELERATES DESIGN PRODUCTIVITY Does your development team need to create complex, competitive, next-generation systems in a hurry? Xilinx All Programmable devices

More information

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 December 2014 FPGAs in the news» Catapult» Accelerate BING» 2x search acceleration:» ½ the number of servers»

More information

Float to Fix conversion

Float to Fix conversion www.thalesgroup.com Float to Fix conversion Fabrice Lemonnier Research & Technology 2 / Thales Research & Technology : Research center of Thales Objective: to propose technological breakthrough for the

More information

Xeon+FPGA Platform for the Data Center

Xeon+FPGA Platform for the Data Center Xeon+FPGA Platform for the Data Center ISCA/CARL 2015 PK Gupta, Director of Cloud Platform Technology, DCG/CPG Overview Data Center and Workloads Xeon+FPGA Accelerator Platform Applications and Eco-system

More information

Clock and Data Recovery Unit based on Deserialized Oversampled Data

Clock and Data Recovery Unit based on Deserialized Oversampled Data XAPP1240 (v2.0) September 24, 2015 Application Note: Xilinx 7 series devices and UltraScale devices Clock and Data Recovery Unit based on Deserialized Oversampled Data Authors: Paolo Novellini, Antonello

More information

FPGA Manager PCIe, USB 3.0 and Ethernet

FPGA Manager PCIe, USB 3.0 and Ethernet FPGA Manager PCIe, USB 3.0 and Ethernet Streaming, made simple. Embedded Computing Conference 2014 Marc Oberholzer Enclustra GmbH Content Enclustra Company Profile FPGA Design Center FPGA Solution Center

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1 13 T1 Rev 2 Preliminary Design Review E. Hazen Boston University 2012-10-30 E. Hazen - 13 T1 V2 1 Scope of this Review Background: 13 T1 board is being revised to support 10 GbE per request from CDAQ group

More information

credits Programming with actors Dave B. Parlour Xilinx Research Labs Thomas A. Lenart Lund University Robert Esser

credits Programming with actors Dave B. Parlour Xilinx Research Labs Thomas A. Lenart Lund University Robert Esser Programming with actors Jörn W. Janneck credits Dave B. Parlour Thomas A. Lenart Lund University Robert Esser University of Adelaide Ptolemy Miniconference VI, 2005-05-12-2 The FPGA Platform: Huge amounts

More information

The search engine you can see. Connects people to information and services

The search engine you can see. Connects people to information and services The search engine you can see Connects people to information and services The search engine you cannot see Total data: ~1EB Processing data : ~100PB/day Total web pages: ~1000 Billion Web pages updated:

More information

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy

BUILD VERSUS BUY. Understanding the Total Cost of Embedded Design. www.ni.com/buildvsbuy BUILD VERSUS BUY Understanding the Total Cost of Embedded Design Table of Contents I. Introduction II. The Build Approach: Custom Design a. Hardware Design b. Software Design c. Manufacturing d. System

More information

ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC

ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC ATLAS Tile Calorimeter Readout Electronics Upgrade Program for the High Luminosity LHC Augusto Santiago Cerqueira On behalf of the ATLAS Tile Calorimeter Group Federal University of Juiz de Fora, Brazil

More information

FPGA and ASIC Implementation of Rho and P-1 Methods of Factoring. Master s Thesis Presentation Ramakrishna Bachimanchi Director: Dr.

FPGA and ASIC Implementation of Rho and P-1 Methods of Factoring. Master s Thesis Presentation Ramakrishna Bachimanchi Director: Dr. FPGA and ASIC Implementation of Rho and P-1 Methods of Factoring Master s Thesis Presentation Ramakrishna Bachimanchi Director: Dr. Kris Gaj Contents Introduction Background Hardware Architecture FPGA

More information

AXI Performance Monitor v5.0

AXI Performance Monitor v5.0 AXI Performance Monitor v5.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Advanced Mode...................................................................

More information

Efficient Runtime Performance Monitoring of FPGA-based Applications

Efficient Runtime Performance Monitoring of FPGA-based Applications Efficient Runtime Performance Monitoring of FPGA-based Applications Joseph M. Lancaster Jeremy D. Buhler Roger D. Chamberlain Joseph M. Lancaster, Jeremy D. Buhler, and Roger D. Chamberlain, Efficient

More information

Radar Processing: FPGAs or GPUs?

Radar Processing: FPGAs or GPUs? Radar Processing: FPGAs or GPUs? WP011972.0 White Paper While generalpurpose graphics processing units (GPGPUs) offer high rates of peak floatingpoint operations per second (FLOPs), FPGAs now offer competing

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Xilinx SDAccel. A Unified Development Environment for Tomorrow s Data Center. By Loring Wirbel Senior Analyst. November 2014. www.linleygroup.

Xilinx SDAccel. A Unified Development Environment for Tomorrow s Data Center. By Loring Wirbel Senior Analyst. November 2014. www.linleygroup. Xilinx SDAccel A Unified Development Environment for Tomorrow s Data Center By Loring Wirbel Senior Analyst November 2014 www.linleygroup.com Copyright 2014 The Linley Group, Inc. This paper examines Xilinx

More information

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines

Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Reconfigurable Architecture Requirements for Co-Designed Virtual Machines Kenneth B. Kent University of New Brunswick Faculty of Computer Science Fredericton, New Brunswick, Canada ken@unb.ca Micaela Serra

More information

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed NAME OF REPORT SECTION 3 HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed Faster is more profitable in the front office

More information

EC313 - VHDL State Machine Example

EC313 - VHDL State Machine Example EC313 - VHDL State Machine Example One of the best ways to learn how to code is seeing a working example. Below is an example of a Roulette Table Wheel. Essentially Roulette is a game that selects a random

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

FPGA-based MapReduce Framework for Machine Learning

FPGA-based MapReduce Framework for Machine Learning FPGA-based MapReduce Framework for Machine Learning Bo WANG 1, Yi SHAN 1, Jing YAN 2, Yu WANG 1, Ningyi XU 2, Huangzhong YANG 1 1 Department of Electronic Engineering Tsinghua University, Beijing, China

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

An Open Source Circuit Library with Benchmarking Facilities

An Open Source Circuit Library with Benchmarking Facilities An Open Source Circuit Library with Benchmarking Facilities Mariusz Grad and Christian Plessl Paderborn Center for Parallel Computing, University of Paderborn {mariusz.grad christian.plessl}@uni-paderborn.de

More information

SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems

SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems SPP-NIDS - A Sea of Processors Platform for Network Intrusion Detection Systems Luís Carlos Caruso, Guilherme Guindani, Hugo Schmitt, Ney Calazans, Fernando Moraes Faculdade de Informática PUCRS Av. Ipiranga

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

Embedded Systems: map to FPGA, GPU, CPU?

Embedded Systems: map to FPGA, GPU, CPU? Embedded Systems: map to FPGA, GPU, CPU? Jos van Eijndhoven jos@vectorfabrics.com Bits&Chips Embedded systems Nov 7, 2013 # of transistors Moore s law versus Amdahl s law Computational Capacity Hardware

More information

FPGAs for Trusted Cloud Computing

FPGAs for Trusted Cloud Computing FPGAs for Trusted Cloud Computing Traditional Servers Datacenter Cloud Servers Datacenter Cloud Manager Client Client Control Client Client Control 2 Existing cloud systems cannot offer strong security

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

Network Traffic Monitoring an architecture using associative processing.

Network Traffic Monitoring an architecture using associative processing. Network Traffic Monitoring an architecture using associative processing. Gerald Tripp Technical Report: 7-99 Computing Laboratory, University of Kent 1 st September 1999 Abstract This paper investigates

More information

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware NORTHEASTERN UNIVERSITY Graduate School of Engineering Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware Author: Jonathon Pendlum Department: Electrical and Computer Engineering

More information

Fraunhofer Institute for Telecommunications

Fraunhofer Institute for Telecommunications Fraunhofer Institute for Telecommunications Heinrich-Hertz-Institut SCUBE-ICT Emerging Berlin opportunities under FP7-ICT Call 5 Minsk, 25.-26.06.2009 Einsteinufer 37 10587 Berlin Germany Phone: Fax: email:

More information

Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL

Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL Energy-Efficient FPGA Implementation for Binomial Option Pricing Using OpenCL Valentin Mena Morales, Pierre-Henri Horrein, Amer Baghdadi, Erik Hochapfel, Sandrine Vaton Institut Mines-Telecom; Telecom

More information

Data Center and Cloud Computing Market Landscape and Challenges

Data Center and Cloud Computing Market Landscape and Challenges Data Center and Cloud Computing Market Landscape and Challenges Manoj Roge, Director Wired & Data Center Solutions Xilinx Inc. #OpenPOWERSummit 1 Outline Data Center Trends Technology Challenges Solution

More information

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach Mitchell A. Thornton 1 and Aaron S. Collins 2 Abstract A second undergraduate course in digital logic design

More information

Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions

Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions XAPP (v.) April, Application Note: Series, Virtex-, Virtex-, Spartan- and Spartan- FPGAs Bus Master Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Jason Lawley

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS

LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS W H I T E P A P E R LEAN NPI AT OPTIMUM DESIGN ASSOCIATES: PART 1 WHERE ARE WE NOW? RANDY HOLT, OPTIMUM DESIGN ASSOCIATES JAMES DOWDING, MENTOR GRAPHICS w w w. o d b - s a. c o m For more than twenty years,

More information

FlexPath Network Processor

FlexPath Network Processor FlexPath Network Processor Rainer Ohlendorf Thomas Wild Andreas Herkersdorf Prof. Dr. Andreas Herkersdorf Arcisstraße 21 80290 München http://www.lis.ei.tum.de Agenda FlexPath Introduction Work Packages

More information

What s New in 2013. Mike Bailey LabVIEW Technical Evangelist. uk.ni.com

What s New in 2013. Mike Bailey LabVIEW Technical Evangelist. uk.ni.com What s New in 2013 Mike Bailey LabVIEW Technical Evangelist Building High-Performance Test, Measurement and Control Systems Using PXImc Jeremy Twaits Regional Marketing Engineer Automated Test & RF National

More information

A Hybrid Genetic Programming-Particle Swarm Approach for Designing Trading Strategies in Software and Hardware

A Hybrid Genetic Programming-Particle Swarm Approach for Designing Trading Strategies in Software and Hardware A Hybrid Genetic Programming-Particle Swarm Approach for Designing Trading Strategies in Software and Hardware An MEng undergraduate dissertation United Kingdom, 2012 2013 Created by Andreea-Ingrid Funie

More information

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation

3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation 3D modeling in PCI Express Gen1 and Gen2 high speed SI simulation Runjing Zhou Inner Mongolia University E mail: auzhourj@163.com Jinsong Hu Cadence Design Systems E mail: jshu@cadence.com 17th IEEE Workshop

More information

Reconfig'09 Cancun, Mexico

Reconfig'09 Cancun, Mexico Reconfig'09 Cancun, Mexico New OPBHW Interface for Real-Time Partial Reconfiguration of FPGA Julien Delorme, Amor Nafkha, Pierre Leray, Christophe Moy SUPELEC/IETR 10 December 2009 SUPELEC - Campus de

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur 2015 The MathWorks, Inc. 1 Model-Based Design Continuous Verification and Validation Requirements

More information

high-performance computing so you can move your enterprise forward

high-performance computing so you can move your enterprise forward Whether targeted to HPC or embedded applications, Pico Computing s modular and highly-scalable architecture, based on Field Programmable Gate Array (FPGA) technologies, brings orders-of-magnitude performance

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools)

Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) XAPP1086 (v1.3.1) February 5, 2015 Application Note: 7 Series FPGAs and Zynq-7000 AP SoC Isolation Design Flow for Xilinx 7 Series FPGAs or Zynq-7000 AP SoCs (ISE Tools) Author: Ed Hallett Summary This

More information

DATA LINK technologies are providing an evergrowing

DATA LINK technologies are providing an evergrowing NETWORK-13-00198.R1. IEEE NETWORK SPECIAL ISSUE ON OPEN SOURCE FOR NETWORKING: DEVELOPMENT AND EXPERIMENTATION 1 Bridging the Gap Between Hardware and Software Open-Source Network Developments Marco Forconesi,

More information

Designing a Card for 100 Gb/s Network Monitoring

Designing a Card for 100 Gb/s Network Monitoring CESNET Technical Report 7/2013 Designing a Card for 100 Gb/s Network Monitoring ŠTĚPÁN FRIEDL, VIKTOR PUš, JIŘÍ MATOUšEK, MARTIN ŠPINLER Received 18. 12. 2013 Abstract This technical report describes the

More information

A DA Serial Multiplier Technique based on 32- Tap FIR Filter for Audio Application

A DA Serial Multiplier Technique based on 32- Tap FIR Filter for Audio Application A DA Serial Multiplier Technique ased on 32- Tap FIR Filter for Audio Application K Balraj 1, Ashish Raman 2, Dinesh Chand Gupta 3 Department of ECE Department of ECE Department of ECE Dr. B.R. Amedkar

More information

Capstone Overview Architecture for Big Data & Machine Learning. Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015

Capstone Overview Architecture for Big Data & Machine Learning. Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015 Capstone Overview Architecture for Big Data & Machine Learning Debbie Marr ICRI-CI 2015 Retreat, May 5, 2015 Accelerators Memory Traffic Reduction Memory Intensive Arch. Context-based Prefetching Deep

More information

Next Generation Operating Systems

Next Generation Operating Systems Next Generation Operating Systems Zeljko Susnjar, Cisco CTG June 2015 The end of CPU scaling Future computing challenges Power efficiency Performance == parallelism Cisco Confidential 2 Paradox of the

More information

Altera Error Message Register Unloader IP Core User Guide

Altera Error Message Register Unloader IP Core User Guide 2015.06.12 Altera Error Message Register Unloader IP Core User Guide UG-01162 Subscribe The Error Message Register (EMR) Unloader IP core (altera unloader) reads and stores data from the hardened error

More information

Clocking Wizard v5.1

Clocking Wizard v5.1 Clocking Wizard v5.1 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview About the Core.................................................................... 6 Recommended

More information

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012

ISE In-Depth Tutorial. UG695 (v14.1) April 24, 2012 ISE In-Depth Tutorial Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To the maximum extent permitted by

More information

Moving Beyond CPUs in the Cloud: Will FPGAs Sink or Swim?

Moving Beyond CPUs in the Cloud: Will FPGAs Sink or Swim? Moving Beyond CPUs in the Cloud: Will FPGAs Sink or Swim? Successful FPGA datacenter usage at scale will require differentiated capability, programming ease, and scalable implementation models Executive

More information

Implementing Open flow switch using FPGA based platform

Implementing Open flow switch using FPGA based platform Implementing Open flow switch using FPGA based platform Ting Liu Master of Telematics - Communication Networks and Networked Services (2 Submission date: June 2014 Supervisor: Yuming Jiang, ITEM Co-supervisor:

More information

Pedraforca: ARM + GPU prototype

Pedraforca: ARM + GPU prototype www.bsc.es Pedraforca: ARM + GPU prototype Filippo Mantovani Workshop on exascale and PRACE prototypes Barcelona, 20 May 2014 Overview Goals: Test the performance, scalability, and energy efficiency of

More information

Using Altera MAX Series as Microcontroller I/O Expanders

Using Altera MAX Series as Microcontroller I/O Expanders 2014.09.22 Using Altera MAX Series as Microcontroller I/O Expanders AN-265 Subscribe Many microcontroller and microprocessor chips limit the available I/O ports and pins to conserve pin counts and reduce

More information

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters

FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Compute Clusters FPGA-Accelerated Heterogeneous Hyperscale Server Architecture for Next-Generation Clusters Rene Griessl, Peykanu Meysam, Jens Hagemeyer, Mario Porrmann Bielefeld University, Germany Stefan Krupop, Micha

More information

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs

Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs Using the Agilent 3070 Tester for In-System Programming in Altera CPLDs AN-628-1.0 Application Note This application note describes how to use the Agilent 3070 test system to achieve faster programming

More information

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs

Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Distributed Elastic Switch Architecture for efficient Networks-on-FPGAs Antoni Roca, Jose Flich Parallel Architectures Group Universitat Politechnica de Valencia (UPV) Valencia, Spain Giorgos Dimitrakopoulos

More information

Go Faster - Preprocessing Using FPGA, CPU, GPU. Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING

Go Faster - Preprocessing Using FPGA, CPU, GPU. Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING Go Faster - Preprocessing Using FPGA, CPU, GPU Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING WHO ARE STEMMER IMAGING? STEMMER IMAGING is: Europe's leading independent provider

More information

Altera SDK for OpenCL

Altera SDK for OpenCL Altera SDK for OpenCL Best Practices Guide Subscribe OCL003-15.0.0 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents...1-1 Introduction...1-1 FPGA Overview...1-1 Pipelines... 1-2 Single

More information

Parallelized Architecture of Multiple Classifiers for Face Detection

Parallelized Architecture of Multiple Classifiers for Face Detection Parallelized Architecture of Multiple s for Face Detection Author(s) Name(s) Author Affiliation(s) E-mail Abstract This paper presents a parallelized architecture of multiple classifiers for face detection

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information