Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015

Size: px
Start display at page:

Download "Xilinx 7 Series FPGA Power Benchmark Design Summary May 2015"

Transcription

1 Xilinx 7 Series FPGA Power Benchmark Design Summary May 15

2 Application-centric Benchmarking Process 1G Packet Processor OTN Muxponder ASIC Emulation Wireless Radio & Satellite Modem Edge QAM AVB Switcher Military Radio Mobil Backhaul FPGA resource counts, toggle rates, clock speeds Xilinx Power Estimator (XPE) version 151 MAX condition used to estimate worst-case static power XPE Competitor s Estimator Early Power Estimator (EPE) versions 15 MAX condition used to estimate worst-case static power Page

3 s Interlaken Traffic Management Interlaken s Power Benchmark: Traffic Manager in 1G Line Card Virtex-7 X69T FPGA 35 13X Interlaken 16 at 1315G SDRAM Interlaken 16 at 1315G 3 5 NPU Backplane Interface Environment Tj = 1 C Process Virtex -7 X69T -LE Stratix V 5SGXA7 I-Grade 6K Interface s GTs Rate 8 x 3-bit 5-16 Mb/s Interlaken Gb/s LC/LEs 3K 167K 15,516 Kb DSP Blocks PLLs 8 Core Freq 5 MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 3

4 s 1G EFEC OTU- Framer 1GE Mapper s Power Benchmark: 1GE over OTU Transponder Virtex-7 X69T FPGA 1G OUT- Optical Module OTL1 1X1118G CAUI 1G OUT- Optical Module X Overhead Processing 1GE Clients Overhead bus Environment Tj = 1 C Process Virtex -7 X69T -LE Stratix V 5SGXA7 I-Grade 6K Interface s GTs Rate LVCMOS 5-5 Mb/s GT Gb/s GT Gb/s LC / LEs Core Freq 39K 5K 9,36 Kb 35, 175 MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page

5 Power Benchmark: ASIC Prototyping Virtex-7 FPGA T 6 X Virtex -7 T -LE Stratix V EEB (x) I-Grade x 95K Interface s GTs Rate Environment Process Tj = 1 C LC / LEs 1,K 3 6-bit Mb/s LVDS 8-1 Mb/s Core Freq 765 K 1,6 Kb MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 5

6 Channel Encoder QAM DeMod MIMO Decoder OFDM Demod Layer 1/ API DUC / DDC Channel Encoder QAM DeMod MIMO Modulator OFDM Modulator Power Benchmark: Wireless WCDMA / LTE Picocell Kintex-7 35T FPGA X GbE 6 MB 6 MB DAC 1 1 PCIe ADC MicroBlaze Processor Kintex -7 35T -LI(95V) Stratix V 5SGXA -I K Interface s GTs Rate 6 MB 3 x 16-bit Mb/s GT - Gb/s GT Gb/s GT Gb/s *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Environment Process Tj = 1 C LC / LEs K 15K 1,56 Kb DSP Blocks 3 PCIe Blocks 1 Core Freq 37 MHz Page 6

7 1G Network Interface s 1 Gig EMAC FIFO J83 Annex A/B/C Modulator FIFO RRC Filter Digital Up Converter Dense Edge QAM Modulator Kintex-7 35T FPGA 18 X RF 16 1 DAC IF 6 Gigasample 1-Bit DAC Channels RF Port #1 6 Kintex -7 35T -LI(95V) Stratix V 5SGXEA -3I 36K External External Memory Memory Interface s GTs Rate LVDS Mb/s LVCMOS 1-15 MHz x 8-bit 55-8 Mb/s GT Gb/s Environment Process Tj = 1 C LC / LEs 18K 157K 1, Kb DSP Blocks 61 Core Freq 38, 576,156, 19, MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 7

8 SD / HD / 3G Receive Interface Frame Buffer Control / Frame Sync Chroma Keyer Mixes, Wipes, Effects SD / HD / 3G Transmit Interface Power Benchmark: AVB Switcher Kintex-7 35T FPGA X SDRAM SD/HD/3G Inputs 1 8 SD/HD/3G Outputs Kintex -7 35T -LI (95V) Arria V 5AGXB3 I-Grade 36K Interface s GTs Rate 3 x 7-bit 5-8 Mb/s GT Gb/s Environment Tj = 1 C LC / LEs Process 3K 188K 1,8 Kb DSP Blocks 5 Core Freq 185 MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 8

9 SD / HD / 3G Receive Interface Frame Buffer Control / Frame Sync Chroma Keyer Mixes, Wipes, Effects SD / HD / 3G Transmit Interface AVB Switcher (-channel) Artix-7 1T FPGA DDR /3 SDRAM 5 17X SD/HD/3G Inputs * SD/HD/3G Outputs * 3 3 Control Interface *Note: SD/HD/3G inputs and outputs share GTs 1 1 Artix -7 1T -1LI (95V) Cyclone V 5CGXC7 C-Grade 19K Interface s GTs Rate 1 x 6-bit 11-8 Mb/s GT - 97 Gb/s Environment Process Tj = 85 C LC / LEs 75K 7K,5 Kb DSP Blocks 15 PLL 1 Phaser Block 1 Core Freq 185, MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 9

10 s s Power Benchmark: Single Channel Mobile Backhaul Artix-7 T FPGA Control Plane Processor SRAM 8 1X Ethernet Switch 7 6 Traffic Management, Packet Processing Timing Synchronization Modem Environment Process Tj = 1ºC LC / LEs 13K Artix -7 T -1LI(95V) Arria V 5AGXA5 I-Grade 19K Interfaces s GTs Rate 1 x 3-bit 7-8 Mb/s LVDS pairs 18-8 Mb/s SEIO 15-1 Mb/s Switch ports - 1 Gb/s 81K 5,76 Kb DSP Blocks 35 PLL Core Freq 5, MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 1

11 Power Benchmark: Satellite Modem Artix-7 1T FPGA x 157x Artix -7 1T -1LI(95V) Cyclone V 5CGEA7 I-grade 15K Environment Tj = 85 C LC/LEs Process 85 K 5 K 3, Kb DSP Blocks 16 Interface s GTs Rate PLLs 1x 3-bit DDR 69-5 Mb/s Core Freq 3, 65, 15 MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 11

12 Military Network Protocol Platform Data Aggregator Artix-7 T FPGA 7 11X Artix -7 T -1LI(95V) Arria V 5AGXA5 C-Grade 19K Interface s GTs Rate x 36-bit RLDRAMs 1-5 Mb/s GT Gb/s GT Gb/s GT Gb/s Environment Process Tj = 85 C LC / LEs 63K 58K 3,6 Kb DSP Blocks PCIe Blocks 1 Core Freq 15 MHz *Design results sourced from Xilinx Power Estimator (XPE) version 151 and Altera Early Power Estimator (EPE) version 15 Page 1

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

VPX Implementation Serves Shipboard Search and Track Needs

VPX Implementation Serves Shipboard Search and Track Needs VPX Implementation Serves Shipboard Search and Track Needs By: Thierry Wastiaux, Senior Vice President Interface Concept Defending against anti-ship missiles is a problem for which high-performance computing

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Note monitors controlled by analog signals CRT monitors are controlled by analog voltage. i. e. the level of analog signal delivered through the

Note monitors controlled by analog signals CRT monitors are controlled by analog voltage. i. e. the level of analog signal delivered through the DVI Interface The outline: The reasons for digital interface of a monitor the transfer from VGA to DVI. DVI v. analog interface. The principles of LCD control through DVI interface. The link between DVI

More information

Development. Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia. AGIPD Meeting 01-02 April, 2014

Development. Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia. AGIPD Meeting 01-02 April, 2014 Textmasterformat AGIPD Firmware/Software bearbeiten Igor Sheviakov Manfred Zimmer Peter Göttlicher Qingqing Xia AGIPD Meeting 01-02 April, 2014 Outline Textmasterformat bearbeiten Reminder: hardware set-up

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group

The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links. Filippo Costa on behalf of the ALICE DAQ group The new frontier of the DATA acquisition using 1 and 10 Gb/s Ethernet links Filippo Costa on behalf of the ALICE DAQ group DATE software 2 DATE (ALICE Data Acquisition and Test Environment) ALICE is a

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Optical Transport Networks for 100G Implementation in FPGAs

Optical Transport Networks for 100G Implementation in FPGAs Optical Transport Networks for 100G Implementation in FPGAs WP-01115-1.1 White Paper Based on announcements from vendors, enterprises and service providers, 100G system deployment is finally gaining real

More information

Networking Virtualization Using FPGAs

Networking Virtualization Using FPGAs Networking Virtualization Using FPGAs Russell Tessier, Deepak Unnikrishnan, Dong Yin, and Lixin Gao Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Massachusetts,

More information

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu

MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN. zl2211@columbia.edu. ml3088@columbia.edu MP3 Player CSEE 4840 SPRING 2010 PROJECT DESIGN Zheng Lai Zhao Liu Meng Li Quan Yuan zl2215@columbia.edu zl2211@columbia.edu ml3088@columbia.edu qy2123@columbia.edu I. Overview Architecture The purpose

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

MIMO detector algorithms and their implementations for LTE/LTE-A

MIMO detector algorithms and their implementations for LTE/LTE-A GIGA seminar 11.01.2010 MIMO detector algorithms and their implementations for LTE/LTE-A Markus Myllylä and Johanna Ketonen 11.01.2010 2 Outline Introduction System model Detection in a MIMO-OFDM system

More information

Video and Image Processing Design Example

Video and Image Processing Design Example Video and Image Processing Design Example AN-427-10.2 Application Note The Altera Video and Image Processing Design Example demonstrates the following items: A framework for rapid development of video

More information

White Paper Increase Flexibility in Layer 2 Switches by Integrating Ethernet ASSP Functions Into FPGAs

White Paper Increase Flexibility in Layer 2 Switches by Integrating Ethernet ASSP Functions Into FPGAs White Paper Increase Flexibility in Layer 2 es by Integrating Ethernet ASSP Functions Into FPGAs Introduction A Layer 2 Ethernet switch connects multiple Ethernet LAN segments. Because each port on the

More information

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002

Using FPGAs to Design Gigabit Serial Backplanes. April 17, 2002 Using FPGAs to Design Gigabit Serial Backplanes April 17, 2002 Outline System Design Trends Serial Backplanes Architectures Building Serial Backplanes with FPGAs A1-2 Key System Design Trends Need for.

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

C-GEP 100 Monitoring application user manual

C-GEP 100 Monitoring application user manual C-GEP 100 Monitoring application user manual 1 Introduction: C-GEP is a very versatile platform for network monitoring applications. The ever growing need for network bandwith like HD video streaming and

More information

Xilinx UltraScale Architecture for High-Performance, Smarter Systems

Xilinx UltraScale Architecture for High-Performance, Smarter Systems White Paper: UltraScale Architecture WP434 (v1.2) October 29, 2015 Xilinx UltraScale Architecture for High-Performance, Smarter Systems By: Nick Mehta Breakthrough system performance, unprecedented capacity,

More information

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1

AMC13 T1 Rev 2 Preliminary Design Review. E. Hazen Boston University. 2012-10-30 E. Hazen - AMC13 T1 V2 1 13 T1 Rev 2 Preliminary Design Review E. Hazen Boston University 2012-10-30 E. Hazen - 13 T1 V2 1 Scope of this Review Background: 13 T1 board is being revised to support 10 GbE per request from CDAQ group

More information

AGIPD Interface Electronic Prototyping

AGIPD Interface Electronic Prototyping AGIPD Interface Electronic Prototyping P.Goettlicher I. Sheviakov M. Zimmer - Hardware Setup, Measurements - ADC (AD9252 14bit x 8ch x 50msps ) readout - Custom 10G Ethernet performance - Conclusions Test

More information

HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring

HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring CESNET Technical Report 2/2014 HANIC 100G: Hardware accelerator for 100 Gbps network traffic monitoring VIKTOR PUš, LUKÁš KEKELY, MARTIN ŠPINLER, VÁCLAV HUMMEL, JAN PALIČKA Received 3. 10. 2014 Abstract

More information

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 December 2014 FPGAs in the news» Catapult» Accelerate BING» 2x search acceleration:» ½ the number of servers»

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

Modular, Open-Source Software Transceiver for PHY/MAC Research

Modular, Open-Source Software Transceiver for PHY/MAC Research Modular, Open-Source Software Transceiver for PHY/MAC Research John Malsbury Ettus Research 1043 N Shoreline Blvd. (650)417-6019 john.malsbury@ettus.com Abstract The USRP (Universal Software Radio Peripheral)

More information

Innovative test solutions 19.05.2016 WORKSHOP APPLICAZIONI FPGA - INAF 1

Innovative test solutions 19.05.2016 WORKSHOP APPLICAZIONI FPGA - INAF 1 Innovative test solutions 19.05.2016 WORKSHOP APPLICAZIONI FPGA - INAF 1 History and milestones 2003: Active Technologies is founded as University of Ferrara startup. Mission: develop custom oriented innovative

More information

[Download Tech Notes TN-11, TN-18 and TN-25 for more information on D-TA s Record & Playback solution] SENSOR PROCESSING FOR DEMANDING APPLICATIONS 29

[Download Tech Notes TN-11, TN-18 and TN-25 for more information on D-TA s Record & Playback solution] SENSOR PROCESSING FOR DEMANDING APPLICATIONS 29 is an extremely scalable and ultra-fast 10 Gigabit record and playback system. It is designed to work with D-TA sensor signal acquisition products that are 10 Gigabit (10GbE) network attached. The can

More information

Achieving High Performance DDR3 Data Rates

Achieving High Performance DDR3 Data Rates WP383 (v1.2) August 29, 2013 Achieving High Performance DDR3 Data Rates By: Adrian Cosoroaba Programmable devices frequently require an external memory interface to buffer data that exceeds the capacity

More information

WiSER: Dynamic Spectrum Access Platform and Infrastructure

WiSER: Dynamic Spectrum Access Platform and Infrastructure WiSER: Dynamic Spectrum Access Platform and Infrastructure I. Seskar, D. Grunwald, K. Le, P. Maddala, D. Sicker, D. Raychaudhuri Rutgers, The State University of New Jersey University of Colorado, Boulder

More information

Ettus Research Products and Roadmap 2011

Ettus Research Products and Roadmap 2011 Ettus Research Products and Roadmap 2011 Matt Ettus Ettus Research September, 2011 Outline 1 2 3 4 Outline 1 2 3 4 Ettus Research founded in 2004 Acquired by National Instruments in Feb

More information

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS

DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS DEVELOPMENT OF DEVICES AND METHODS FOR PHASE AND AC LINEARITY MEASUREMENTS IN DIGITIZERS U. Pogliano, B. Trinchera, G.C. Bosco and D. Serazio INRIM Istituto Nazionale di Ricerca Metrologica Torino (Italia)

More information

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Xilinx 7 Series FPGAs Transceiver Technical Module. Gregory Donzel, FAE SILICA XILINX

Xilinx 7 Series FPGAs Transceiver Technical Module. Gregory Donzel, FAE SILICA XILINX Xilinx 7 Series FPGAs Transceiver Technical Module Gregory Donzel, FAE SILICA XILINX Ecole d Electronique IN2P3, 27 Novembre 2012 Expanding Programmable Technology Leadership Committed to be First to Process

More information

Fulfilling Technology Needs for 40G 100G Network-Centric Operations and Warfare

Fulfilling Technology Needs for 40G 100G Network-Centric Operations and Warfare Fulfilling Technology Needs for 40G 100G Network-Centric Operations and Warfare WP-01138-1.1 White Paper The development and deployment of network-centric operations and warfare (NCOW) to integrate and

More information

A Design of Video Acquisition and Transmission Based on ARM. Ziqiang Hao a, Hongzuo Li b

A Design of Video Acquisition and Transmission Based on ARM. Ziqiang Hao a, Hongzuo Li b A Design of Video Acquisition and Transmission Based on ARM Ziqiang Hao a, Hongzuo Li b Changchun University of Science & Technology, Changchun, Jilin, China a shuil47@163.com, b lihongzuo@sohu.com Keywords:video

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Kirchhoff Institute for Physics Heidelberg

Kirchhoff Institute for Physics Heidelberg Kirchhoff Institute for Physics Heidelberg Norbert Abel FPGA: (re-)configuration and embedded Linux 1 Linux Front-end electronics based on ADC and digital signal processing Slow control implemented as

More information

Reconfigurable System-on-Chip Design

Reconfigurable System-on-Chip Design Reconfigurable System-on-Chip Design MITCHELL MYJAK Senior Research Engineer Pacific Northwest National Laboratory PNNL-SA-93202 31 January 2013 1 About Me Biography BSEE, University of Portland, 2002

More information

OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet

OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet OTU2 I.7 FEC IP Core (IP-OTU2EFECI7Z) Data Sheet Revision 0.02 Release Date 2015-02-24 Document number TD0382 . All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX

More information

System Considerations

System Considerations System Considerations Interfacing Performance Power Size Ease-of Use Programming Interfacing Debugging Cost Device cost System cost Development cost Time to market Integration Peripherals Different Needs?

More information

Avoiding pitfalls in PROFINET RT and IRT Node Implementation

Avoiding pitfalls in PROFINET RT and IRT Node Implementation Avoiding pitfalls in PROFINET RT and IRT Node Implementation Prof. Hans D. Doran ZHAW / Institute of Embedded Systems Technikumstrasse 9, 8400 Winterthur, Switzerland E-Mail: hans.doran@zhaw.ch Lukas Itin

More information

mm-band MIMO in 5G Mobile

mm-band MIMO in 5G Mobile mm-band MIMO in 5G Mobile Arogyaswami Paulraj Stanford University IEEE 5G Summit Santa Clara University November 16, 2015 Service Vision and Performance Universal Connectivity Low Power Low Latency Immersive

More information

High-Definition Video Reference Design (UDX4)

High-Definition Video Reference Design (UDX4) High-Definition Video Reference Design (UDX4) AN-627-1.1 Application Note The Altera high-definition video reference designs deliver high-quality up, down, and cross conversion (UDX) designs for standard-definition

More information

Lessons learned from Run2 C-RORC/Clusterfinder Development

Lessons learned from Run2 C-RORC/Clusterfinder Development Lessons learned from Run2 C-RORC/Clusterfinder Development 13.01.2014 Heiko Engel hengel@cern.ch C-RORC Hardware Timeline Kickoff Meeting Start PCB Layout Purchase Preparations Pre-Series Boards done Schematics

More information

Enhancing High-Speed Telecommunications Networks with FEC

Enhancing High-Speed Telecommunications Networks with FEC White Paper Enhancing High-Speed Telecommunications Networks with FEC As the demand for high-bandwidth telecommunications channels increases, service providers and equipment manufacturers must deliver

More information

Getting the most TCP/IP from your Embedded Processor

Getting the most TCP/IP from your Embedded Processor Getting the most TCP/IP from your Embedded Processor Overview Introduction to TCP/IP Protocol Suite Embedded TCP/IP Applications TCP Termination Challenges TCP Acceleration Techniques 2 Getting the most

More information

7 Series FPGA Overview

7 Series FPGA Overview 7 Series FPGA Overview 7 Series FPGA Families Maximum Capability Lowest Power and Cost Industry s Best Price/Performance Industry s Highest System Performance Logic Cells Block RAM DSP Slices Peak DSP

More information

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment

White Paper Streaming Multichannel Uncompressed Video in the Broadcast Environment White Paper Multichannel Uncompressed in the Broadcast Environment Designing video equipment for streaming multiple uncompressed video signals is a new challenge, especially with the demand for high-definition

More information

Development of the electromagnetic calorimeter waveform digitizers for the Fermilab Muon g-2 experiment

Development of the electromagnetic calorimeter waveform digitizers for the Fermilab Muon g-2 experiment Development of the electromagnetic calorimeter waveform digitizers for the Fermilab g-2 experiment 1 on behalf of the Fermilab E989 g-2 Collaboration European Physical Society Conference on High Energy

More information

White Paper. Intel Sandy Bridge Brings Many Benefits to the PC/104 Form Factor

White Paper. Intel Sandy Bridge Brings Many Benefits to the PC/104 Form Factor White Paper Intel Sandy Bridge Brings Many Benefits to the PC/104 Form Factor Introduction ADL Embedded Solutions newly introduced PCIe/104 ADLQM67 platform is the first ever PC/104 form factor board to

More information

White Paper Video Surveillance Implementation Using FPGAs

White Paper Video Surveillance Implementation Using FPGAs White Paper Surveillance Implementation Using s Introduction Currently, the video surveillance industry uses analog CCTV cameras and interfaces as the basis of surveillance systems. These system components

More information

LTE BACKHAUL REQUIREMENTS: A REALITY CHECK

LTE BACKHAUL REQUIREMENTS: A REALITY CHECK By: Peter Croy, Sr. Network Architect, Aviat Networks INTRODUCTION LTE mobile broadband technology is now being launched across the world with more than 140 service providers committed to implement it

More information

Low-latency data acquisition to GPUs using FPGA-based 3rd party devices. Denis Perret, LESIA / Observatoire de Paris

Low-latency data acquisition to GPUs using FPGA-based 3rd party devices. Denis Perret, LESIA / Observatoire de Paris Low-latency data acquisition to s using FPGA-based 3rd party devices Denis Perret, LESIA / Observatoire de Paris RTC_4_AO workshop PARIS 2016 RTC for ELT AO Deformable Mirror Sensors 40Ge Network high

More information

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing

SDR Architecture. Introduction. Figure 1.1 SDR Forum High Level Functional Model. Contributed by Lee Pucker, Spectrum Signal Processing SDR Architecture Contributed by Lee Pucker, Spectrum Signal Processing Introduction Software defined radio (SDR) is an enabling technology, applicable across a wide range of areas within the wireless industry,

More information

PCI Express Impact on Storage Architectures and Future Data Centers. Ron Emerick, Oracle Corporation

PCI Express Impact on Storage Architectures and Future Data Centers. Ron Emerick, Oracle Corporation PCI Express Impact on Storage Architectures and Future Data Centers Ron Emerick, Oracle Corporation SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies

More information

A Scalable Large Format Display Based on Zero Client Processor

A Scalable Large Format Display Based on Zero Client Processor International Journal of Electrical and Computer Engineering (IJECE) Vol. 5, No. 4, August 2015, pp. 714~719 ISSN: 2088-8708 714 A Scalable Large Format Display Based on Zero Client Processor Sang Don

More information

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC

Nutaq. PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET. nutaq.com MONTREAL QUEBEC Nutaq PicoDigitizer 125-Series 16 or 32 Channels, 125 MSPS, FPGA-Based DAQ Solution PRODUCT SHEET QUEBEC I MONTREAL I N E W YO R K I nutaq.com Nutaq PicoDigitizer 125-Series The PicoDigitizer 125-Series

More information

PCI Express Impact on Storage Architectures. Ron Emerick, Sun Microsystems

PCI Express Impact on Storage Architectures. Ron Emerick, Sun Microsystems PCI Express Impact on Storage Architectures Ron Emerick, Sun Microsystems SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies and individual members may

More information

Video and Image Processing Suite User Guide

Video and Image Processing Suite User Guide Video and Image Processing Suite User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-VIPSUITE 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Video and Image

More information

Addressing 100-GbE Line-Card Design Challenges on 28-nm FPGAs

Addressing 100-GbE Line-Card Design Challenges on 28-nm FPGAs Addressing 100-GbE Line-Card Design Challenges on 8-nm FPGAs WP-0118-.0 White Paper As various standard bodies finalize their 100G standards for transport, Ethernet, and optical interfaces, FPGAs play

More information

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS A Lattice Semiconductor White Paper May 2005 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

24 GHz Point-to-Point 1.4+ Gbps Radio. Datasheet. Model: AF24. High Performance Wireless Backhaul. Long Range of 13+ km

24 GHz Point-to-Point 1.4+ Gbps Radio. Datasheet. Model: AF24. High Performance Wireless Backhaul. Long Range of 13+ km 24 GHz Point-to-Point 1.4+ Gbps Radio Model: AF24 High Performance Wireless Backhaul Long Range of 13+ km Worldwide License-Free 24 GHz Operation Revolutionary Wireless Technology Introducing airfiber,

More information

Clocking Solutions. Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing. ti.

Clocking Solutions. Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing. ti. ing Solutions Wired Communications / Networking Wireless Communications Industrial Automotive Consumer Computing ti.com/clocks 2014 Accelerate Time-to-Market with Easy-to-Use ing Solutions Texas Instruments

More information

FPGA Manager PCIe, USB 3.0 and Ethernet

FPGA Manager PCIe, USB 3.0 and Ethernet FPGA Manager PCIe, USB 3.0 and Ethernet Streaming, made simple. Embedded Computing Conference 2014 Marc Oberholzer Enclustra GmbH Content Enclustra Company Profile FPGA Design Center FPGA Solution Center

More information

Arista Application Switch: Q&A

Arista Application Switch: Q&A Arista Application Switch: Q&A Q. What is the 7124FX Application Switch? A. The Arista 7124FX is a data center class Ethernet switch based on the Arista 7124SX, our ultra low-latency L2/3/4 switching platform.

More information

Nios II-Based Intellectual Property Camera Design

Nios II-Based Intellectual Property Camera Design Nios II-Based Intellectual Property Camera Design Third Prize Nios II-Based Intellectual Property Camera Design Institution: Participants: Instructor: Xidian University Jinbao Yuan, Mingsong Chen, Yingzhao

More information

Designing a Card for 100 Gb/s Network Monitoring

Designing a Card for 100 Gb/s Network Monitoring CESNET Technical Report 7/2013 Designing a Card for 100 Gb/s Network Monitoring ŠTĚPÁN FRIEDL, VIKTOR PUš, JIŘÍ MATOUšEK, MARTIN ŠPINLER Received 18. 12. 2013 Abstract This technical report describes the

More information

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Non-Data Aided Carrier Offset Compensation for SDR Implementation Non-Data Aided Carrier Offset Compensation for SDR Implementation Anders Riis Jensen 1, Niels Terp Kjeldgaard Jørgensen 1 Kim Laugesen 1, Yannick Le Moullec 1,2 1 Department of Electronic Systems, 2 Center

More information

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller

USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller USB 3.0 Connectivity using the Cypress EZ-USB FX3 Controller PLC2 FPGA Days June 20, 2012 Stuttgart Martin Heimlicher FPGA Solution Center Content Enclustra Company Profile USB 3.0 Overview What is new?

More information

White Paper Building Flexible, Cost-Efficient Broadband Access Equipment Line Cards

White Paper Building Flexible, Cost-Efficient Broadband Access Equipment Line Cards White Paper Building Flexible, Cost-Efficient Broadband Access Equipment Line Cards Telecommunications equipment manufacturers (TEMs) are facing tough challenges with triple play (voice, video and data

More information

Matrox 4Sight GP. Industrial imaging computer with desktop-level performance and expansion. The right fit for machine vision or medical imaging

Matrox 4Sight GP. Industrial imaging computer with desktop-level performance and expansion. The right fit for machine vision or medical imaging Matrox 4Sight GP Industrial imaging computer with desktop-level performance and expansion Benefits Tackle demanding imaging workloads with confidence using a 3rd generation Intel Core processor Encode

More information

Quartus II Software and Device Support Release Notes Version 15.0

Quartus II Software and Device Support Release Notes Version 15.0 2015.05.04 Quartus II Software and Device Support Release Notes Version 15.0 RN-01080-15.0.0 Subscribe This document provides late-breaking information about the Altera Quartus II software release version

More information

3-7 The On-Board Processor for a Voice Communication Switching

3-7 The On-Board Processor for a Voice Communication Switching 3-7 The On-Board Processor for a Voice Communication Switching HASHIMOTO Yukio We developed the on-board processor (OBP) used for voice communication switching of mobile satellite communication systems.

More information

Adhoc Contributors. Nader Vijeh

Adhoc Contributors. Nader Vijeh PHY DEFINITIONS Adhoc Contributors Brad Booth Ben Brown Steve Haddock Jeff Lynch Stuart Robinson Nader Vijeh Paul Bottorff Roy Bynum David Law David Martin Geoff Thompson Some definitions for us from 802.3

More information

EDUCATION. PCI Express, InfiniBand and Storage Ron Emerick, Sun Microsystems Paul Millard, Xyratex Corporation

EDUCATION. PCI Express, InfiniBand and Storage Ron Emerick, Sun Microsystems Paul Millard, Xyratex Corporation PCI Express, InfiniBand and Storage Ron Emerick, Sun Microsystems Paul Millard, Xyratex Corporation SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies

More information

XMVAE Gigabit Ethernet Modules

XMVAE Gigabit Ethernet Modules XMVAE Gigabit Ethernet Modules Ixia's XMVAE Gigabit Ethernet Load Modules offer complete Layer 2-3 network and application testing functionality in a single test system for Automotive Ethernet switch and

More information

Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability?

Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability? Software Defined Radio Equipment: What's the Best Design Approach to Reduce Power Consumption and Increase Reconfigurability? Manel Hentati 1, 3, Amor Nafkha 2, Pierre Leray 2, Jean-François Nezan 1, Mohamed

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Media Gateway with IP and ATM Interworking

Media Gateway with IP and ATM Interworking Access Media Gateway with IP and ATM Interworking Overview With the growth in data network traffic driving major changes in public networks, the convergence of existing voice traffic onto the data network

More information

Arquitectura Virtex. Delay-Locked Loop (DLL)

Arquitectura Virtex. Delay-Locked Loop (DLL) Arquitectura Virtex Compuesta de dos elementos principales configurables : CLBs y IOBs. Los CLBs se interconectan a través de una matriz general de routeado (GRM). Posse una intefaz VersaRing que proporciona

More information

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks

Cloud-Based Apps Drive the Need for Frequency-Flexible Clock Generators in Converged Data Center Networks Cloud-Based Apps Drive the Need for Frequency-Flexible Generators in Converged Data Center Networks Introduction By Phil Callahan, Senior Marketing Manager, Timing Products, Silicon Labs Skyrocketing network

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core 1 Introduction The implements, in conjunction with a triple speed 10/100/1000 MAC, Layer 3 network acceleration functions, which are designed to accelerate the processing of various common networking protocols

More information

Introduction. Background

Introduction. Background Introduction By far, the most widely used networking technology in Wide Area Networks (WANs) is SONET/SDH. With the growth of Ethernet now into Metropolitan Area Networks (MANs) there is a growing need

More information

Cyan Z33 Platform. Edge- and Aggregation-Optimized P-OTP. Introduction. Benefits

Cyan Z33 Platform. Edge- and Aggregation-Optimized P-OTP. Introduction. Benefits Cyan Z33 Platform Edge- and Aggregation-Optimized P-OTP Introduction The Z33 is Cyan s environmentally hardened packet-optical transport platform (P-OTP) optimized for edge and aggregation deployments.

More information

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654

ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 ARM Cortex -A8 SBC with MIPI CSI Camera and Spartan -6 FPGA SBC1654 Features ARM Cortex-A8 processor, 800MHz Xilinx Spartan-6 FPGA expands vision processing capabilities Dual MIPI CSI-2 CMOS camera ports,

More information

LLRF. Digital RF Stabilization System

LLRF. Digital RF Stabilization System LLRF Digital RF Stabilization System Many instruments. Many people. Working together. Stability means knowing your machine has innovative solutions. For users, stability means a machine achieving its full

More information

AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs

AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs AN 588: 10-Gbps Ethernet Hardware Demonstration Reference Designs December 2009 AN-588-1.1 The reference designs demonstrate wire-speed operation of the Altera 10-Gbps Ethernet (10GbE) reference design

More information

Why 25GE is the Best Choice for Data Centers

Why 25GE is the Best Choice for Data Centers Why 25GE is the Best Choice for Data Centers Gilles Garcia Xilinx Director Wired Communication Business Santa Clara, CA USA April 2015 1 Outline - update Data center drivers Why 25GE The need for 25GE

More information

Cable Modems. Programmable Solutions for the Broadcast Industry

Cable Modems. Programmable Solutions for the Broadcast Industry Cable Modems Programmable Solutions for the Broadcast Industry The Cable Modem Device that allows high-speed data access to the home Modulates/demodulates data signals Delivers Internet data to the desktop

More information

Video Conference System

Video Conference System CSEE 4840: Embedded Systems Spring 2009 Video Conference System Manish Sinha Srikanth Vemula Project Overview Top frame of screen will contain the local video Bottom frame will contain the network video

More information

Easy H.264 video streaming with Freescale's i.mx27 and Linux

Easy H.264 video streaming with Freescale's i.mx27 and Linux Libre Software Meeting 2009 Easy H.264 video streaming with Freescale's i.mx27 and Linux July 8th 2009 LSM, Nantes: Easy H.264 video streaming with i.mx27 and Linux 1 Presentation plan 1) i.mx27 & H.264

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

PCI Express and Storage. Ron Emerick, Sun Microsystems

PCI Express and Storage. Ron Emerick, Sun Microsystems Ron Emerick, Sun Microsystems SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA. Member companies and individuals may use this material in presentations and literature

More information

Avalon Interface Specifications

Avalon Interface Specifications Avalon Interface Specifications Subscribe MNL-AVABUSREF 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents 1. Introduction to the Avalon Interface Specifications... 1-1 1.1 Avalon Properties

More information

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware

NORTHEASTERN UNIVERSITY Graduate School of Engineering. Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware NORTHEASTERN UNIVERSITY Graduate School of Engineering Thesis Title: CRASH: Cognitive Radio Accelerated with Software and Hardware Author: Jonathon Pendlum Department: Electrical and Computer Engineering

More information

Commsonic. Multi-channel ATSC 8-VSB Modulator CMS0038. Contact information. Compliant with ATSC A/53 8-VSB

Commsonic. Multi-channel ATSC 8-VSB Modulator CMS0038. Contact information. Compliant with ATSC A/53 8-VSB Multi-channel ATSC 8-VSB Modulator CMS0038 Compliant with ATSC A/53 8-VSB Scalable architecture supports 1 to 4 channels per core, and multiple instances per FPGA. Variable sample-rate interpolation provides

More information