The Interconnect Challenge

Similar documents
Advanced VLSI Design CMOS Processing Technology

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Chapter 11 PVD and Metallization

Lecture 030 DSM CMOS Technology (3/24/10) Page 030-1

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Chapter 7-1. Definition of ALD

Intel Q3GM ES 32 nm CPU (from Core i5 660)

Dry Film Photoresist & Material Solutions for 3D/TSV

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

A Plasma Doping Process for 3D FinFET Source/ Drain Extensions

Our Embedded Dream of the Invisible Future

J H Liao 1, Jianshe Tang 2,b, Ching Hwa Weng 2, Wei Lu 2, Han Wen Chen 2, John TC Lee 2

Digital Integrated Circuit (IC) Layout and Design

FLEXIBLE CIRCUITS MANUFACTURING

Miniaturizing Flexible Circuits for use in Medical Electronics. Nate Kreutter 3M

Bending, Forming and Flexing Printed Circuits

DESIGN CHALLENGES OF TECHNOLOGY SCALING

Semiconductor doping. Si solar Cell

POWER FORUM, BOLOGNA

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Class 18: Memories-DRAMs

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

Ultra-high Barrier Plastic. MSE5420 Flexible Electronics Martin Yan, GE Global Research

AMD AXDA3000DKV4D Athlon TM XP Microprocessor Structural Analysis

COPPER FLEX PRODUCTS

Good Boards = Results

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

Formation of solids from solutions and melts

Grad Student Presentation Topics PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory

Package Trends for Mobile Device

Results Overview Wafer Edge Film Removal using Laser

ADVANCED WAFER PROCESSING WITH NEW MATERIALS. ASM International Analyst and Investor Technology Seminar Semicon West July 15, 2015

Silicon-On-Glass MEMS. Design. Handbook

Alpha CPU and Clock Design Evolution

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Tantalum in Power Supply Applications

Polymer Termination. Mechanical Cracking 2. The reason for polymer termination. What is Polymer Termination? 3

A SURVEY AND TUTORIAL OF DIELECTRIC MATERIALS USED IN THE MANUFACTURE OF PRINTED CIRCUIT BOARDS.

Chapter 10 CVD and Dielectric Thin Film

Application Note: PCB Design By: Wei-Lung Ho

Excerpt Direct Bonded Copper

Flex Circuit Design and Manufacture.

Innovative Wafer and Interconnect Technologies - Enabling High Volume Low Cost RFID Solutions

Lezioni di Tecnologie e Materiali per l Elettronica

Using Flex in High-Speed Applications

Graduate Student Presentations

Coating Technology: Evaporation Vs Sputtering

Vacuum Evaporation Recap

Winbond W2E512/W27E257 EEPROM

Keeping Current to Stay Competitive in Flex PCB Laser Processing

Embedded Integrated Inductors With A Single Layer Magnetic Core: A Realistic Option

DRIVING COST OUT OF YOUR DESIGNS THROUGH YOUR PCB FABRICATOR S EYES!

TAIYO PSR-4000 AUS703

Flip Chip Package Qualification of RF-IC Packages

POWER GOLD FOR 175 C Tj-max

EQUIPMENT FOR THE CLEANING OF SILICON WAFERS USING SUPERCRITICAL FLUIDS

3 Embedded Capacitor Material

VLSI Fabrication Process

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

INF4420. Outline. Layout and CMOS processing technology. CMOS Fabrication overview. Design rules. Layout of passive and active componets.

Supercapacitors. Advantages Power density Recycle ability Environmentally friendly Safe Light weight

Comprehensive Analysis of Flexible Circuit Materials Performance in Frequency and Time Domains

What is this course is about? Design of Digital Circuitsit. Digital Integrated Circuits. What is this course is about?

Sheet Resistance = R (L/W) = R N L

AN900 APPLICATION NOTE

Material Requirements For 3D IC and Packaging Presented by: W. R. Bottoms

Electroplating with Photoresist Masks

AC coupled pitch adapters for silicon strip detectors

T H A N K S F O R A T T E N D I N G OUR. FLEX-RIGID PCBs. Presented by: Nechan Naicker

Thermal Management for Low Cost Consumer Products

Etching Etch Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between

PRINTED CIRCUIT BOARD SURFACE FINISHES - ADVANTAGES AND DISADVANTAGES

PCB Board Design. PCB boards. What is a PCB board

From physics to products

High power picosecond lasers enable higher efficiency solar cells.

CLA Series: Silicon Limiter Diode Bondable Chips

Semiconductor Process and Manufacturing Technologies for 90-nm Process Generation

Chapter 12. Chemical Mechanical Polishing

Meeting the Thermal Management Needs of Evolving Electronics Applications

PCTF Approach Saves MW/RF Component/Module Costs

These help quantify the quality of a design from different perspectives: Cost Functionality Robustness Performance Energy consumption

DEVELOPING TRENDS OF SYSTEM ON A CHIP AND EMBEDDED SYSTEM

Transition from AMR to GMR Heads in Tape Recording

ECP Embedded Component Packaging Technology

PCB Fabrication Enabling Solutions

ISOTROPIC ETCHING OF THE SILICON NITRIDE AFTER FIELD OXIDATION.

Balancing the Electrical and Mechanical Requirements of Flexible Circuits. Mark Finstad, Applications Engineering Manager, Minco

On-Chip Interconnect: The Past, Present, and Future

MICROPOSIT LOL 1000 AND 2000 LIFTOFF LAYERS For Microlithography Applications

Thermal Management Solutions for Printed Circuit Boards used in Digital and RF Power Electronics and LED assemblies

Coating Thickness and Composition Analysis by Micro-EDXRF

White Paper. Moisture in Hermetic Packages By Craig Hillman, PhD

ECE 410: VLSI Design Course Introduction

2. Deposition process

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Transcription:

The Interconnect Challenge Bob Havemann Novellus Systems, Inc. 16th Biennial University Government Industry Microelectronics Symposium June 26, 2006

Outline Interconnect Scaling Fabrication options Benefits of Cu/Low k Engineering C Reducing k effective Optimizing mechanical properties Engineering R Thinner barriers Optimizing Cu resistivity Summary P. 2

Interconnect Fabrication Options Positive Pattern Negative Pattern Metal Etch Dielectric Etch Dielectric Deposition Metal Deposition Metal Dielectric Planarization by CMP Metal CMP Dielectric Deposition Dielectric Photoresist Etch Stop (Dielectric) Subtractive Etch (Al) Damascene (W, Cu) Logic devices have fully transitioned from Al to Cu interconnect; transition from Al to Cu for Memory devices is in progress P. 3

Microprocessor Clock Frequency vs. Scaling Influence of Interconnect System on Performance 3200 2800 Reference: P. Fisher & R. Nesbitt Clock Freq. (MHz) 2400 2000 1600 1200 800 Cu-Low k Al-Low k Al-SiO 2 Cu-SiO 2 400 0 250 180 150 130 100 70 50 190 140 90 40 240 Technology Min. Feature (nm) Logic Depth = 12 Gates P. 4

Interconnect Hierarchy 90 nm DSP Example Courtesy ot Texas Instruments Global/Semi-Global Interconnect Intermediate Interconnect Local Interconnect P. 5

A Typical Chip Scaling Scenario Global Wires Do Not Scale In Length A Chip A More Advanced Chip Macro Circuit Scaled Macro Circuit Local wire in a macro Global wire between macros P. 6

Interconnect Delay vs. Technology Node For ITRS Design Rules/Material Parameters 100 Reference: R. Ho & M. Horowitz Gate Delay (Fan out 4) Local (Scaled) Global wire signal delay increases with scaling Relative Delay 10 Global with Repeaters (Scaled Die Edge) Global w/o Repeaters (Scaled Die Edge) Repeater insertion reduces global delay 1 Local wire signal delay improves with scaling 0.1 250 180 130 100 70 50 35 Process Technology Node (nm) P. 7

Benefits of Cu and Low k Interconnects Decreased RC Delay RC ~ ε o ερl 2 (h -2 + w -2 ) Crosstalk ~ C L-L / (C L-L + C L-G ) C L-L Lower Power Consumption P ~ CV 2 f C L-G Reduced Crosstalk Noise N ~ C L-L /C Total Line-to-line Capacitance = C L-L Line-to-ground Capacitance = C L-G P. 8

Cu Interconnect Evolution vs. Technology Node rf HCM PVD Barrier HCM PVD Seed rf HCM PVD Barrier HCM PVD Seed rf HCM PVD Barrier rf HCM PVD Seed iald Barrier iald Seed Cu Cu Cu Cu FSG (k~3.6) Low k (k 3) Porous Low k (k~2.5) Porous Low k (k<2.5) SiN SiC Low k Cap/Etch SiC Stop Cu Passivation Cap/Etch Stop Refractory Cap 130nm 90/65nm 45nm 32nm Engineering RC requires new materials and processes P. 9

New materials have both benefits & concerns Performance RC delay Crosstalk (~C ll /C T ) Power dissipation (~CV 2 f) Reliability Time Dependent Dielectric Breakdown (TDDB) Bias Thermal Stress (BTS) Via Stress Migration (VSM) Electromigration (EM) Packaging Mechanical Integrity Heat Dissipation P. 10

Engineering C Goal is reduction in effective dielectric constant (k) by minimizing: k of bulk dielectric material k of dielectric barrier Damage to low k during processing Moisture absorption in low k material Requires hermetic barrier Must also optimize mechanical properties Hardness, modulus, stress, cohesive strength, cracking limit Adhesion Pore size and connectivity P. 11

k Effective for Dense and Porous Low k Dielectrics Barrier Node 90 65 45 k 5.5 4.5 3.5 Th 65 50 40 Cap Node 90 65 45 k 4.2 4.2 3.5 Th 50 50 40 Dense Low k Dense Dielectric Dielectric Barrier Cu Dense Dielectric Porous Low k Porous Dielectric Dielectric Barrier Cap Node (nm): 90 65 45 90 65 45 k = 2.85 3.17 3.06 2.93 k = 2.7 3.04 2.93 2.80 NA k = 2.5 2.86 2.75 2.62 3.19 3.34 3.0 k = 2.2 2.60 2.48 2.36 3.0 3.17 2.85 k = 2.0 2.42 2.31 2.18 2.86 3.05 2.74 liner Cu Intermediate Etch Stop Layer Porous Dielectric Dielectric liner (k=4, t=5nm) ESL Node 90 65 45 k 4.5 4.5 3.5 Th 50 50 40 Must simplify porous low k integration to realize benefits P. 12

BEOL Integration Challenges Low k Dielectrics Low k dielectrics required for capacitance scaling, but: Weaker electrical and mechanical properties are a concern UV Thermal Processing (UVTP) improves film modulus High porosity of Ultra Low k (ULK) films presents integration issues Reduced pore interconnectivity enables standard process for lower cost 1.4 Epoxy 1.2 h 1.0 iald TaN 0.8 0.6 ULK 0.4 0.2 k vs. Hardness for Porous ULK Ta EDS Line Scan UV -Cure Closed Pore Behavior No Penetration of iald barrier Thermal Cure 2.30 2.35 2.40 2.45 2.50 2.55 2.60 2.65 k (1/V)*(dV/dD) 0.30 0.25 0.20 0.15 0.10 0.05 Fractional Volume Distribution at 5.1 kev (370 nm) 15% Lower RC K=2.85 dense film K=3.0 dense film HMS (k=3) K=2.7 dense film ULK (k=2.5) K=2.5 porogen based film 0.00 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 Spherical Pore Diameter (nm) Integration of ultra low k dielectrics demonstrated at k = 2.5 P. 13

Making a Reliable SiC Diffusion Barrier Resistance to moisture and oxygen H 2 O absorption in damaged CDO O 2 /H 2 O reaction forms Cu x O Interfacial O (atoms/cm 2 ) 1E+16 1E+15 1E+14 Dielectric Constant 3.7 3.5 3.3 3.1 2.9 2.7 2.5 SiC Cap on damaged Coral Permeable SiC Hermetic SiC 0 100 200 300 Time (hours) % Adhesion Failures (< 5 J/m 2 ) 1E+13 100 90 80 70 60 50 40 30 20 10 0 No Cu x O Reduction No Cu x O Reduction Permeable SiC Permeable SiC Hermetic SiC Hermetic SiC Hermeticity of the dielectric barrier is key to Cu/low k reliability P. 14

Engineering R Goal is to lower interconnect resistance by: Alleviating contact R increase through material changes Replace high resistivity Ti/TiN with WN Reducing barrier thickness to maximize Cu volume in trench While maintaining reliability Reducing via resistance by optimizing: Etch and post-etch clean Pre-sputter clean Barrier deposition Must also optimize resistivity for smaller feature sizes Optimization of Cu plating chemistry and anneal P. 15

Impact of Scaling on Contact Resistance Contact resistance increasing with scaling: Control of resistance with scaling DirectFill TM WN/Low ρ W Current carrying part of Contact 2600 CVD W Fill W Nucleation TiN/Ti Resistance of 2 Plugs ( Ω ) 2400 2200 2000 1800 800 600 400 200 NMOS Resistance (ITRS : 4xW min ) Direct Fill Ti/TiN/W 0 0 50 100 150 200 Technology Node (nm) Elimination of Ti/TiN liner improves R in scaled contacts P. 16

Scaling Cu Barrier/Seed Lower line resistance achieved by optimizing Cu volume: Requires thinner barrier while maintaining barrier integrity Effective Resistivity (µ Ω.cm) 4 3.8 3.6 3.4 3.2 3 2.8 2.6 2.4 2.2 2 1.8 1.6 ITRS Specification 45 nm 25nm PVD (Conventional PVD) 10nm PVD (RF HCM PVD) 65 nm 90 nm 60nm Trench RF HCM TaN 0 50 100 150 200 250 Line Width (nm) iald TaN Thinner barrier alleviates line resistance increase with scaling, but process optimization required to maintain interconnect reliability P. 17

RF HCM Barrier Step Coverage M = Ta M + M + M Ar + M + M + M + Ar + 1. Barrier Deposition (Ta/TaN) 2. RF Biased Ar + Etch / Ta Deposition As deposited After resputtering P. 18

Cu Fill of Scaled Interconnects Challenges for Cu fill of smaller feature size: Smaller features require faster bottom-up fill without overplating Must compensate for nonuniform current distribution from thin Cu seed Cu Seed Protrusion: Need Fast Bottom-up Fill to Prevent Voids FILL TIME 40 30 20 10 Time to fill Thin Cu Seed: Optimize Entry Conditions 0 180 130 90 65 45 GENERATION Thin barrier/seed with optimized plating process 80 nm filled trench Overplating New Chemistry Planar Fill New plating chemistry & tool enhancements enable Cu fill extendibility P. 19

Optmization of Cu Resistivity ρ (µohm-cm) Cu resistivity increases with smaller feature size: 3.1 2.9 2.7 2.5 2.3 2.1 1.9 Electron scattering from grain boundaries and sidewalls Optimized chemistry/anneal gives large grain size & lower resistivity Copper Anneal/Plating Chemistry Optimized for Large Grain Size INTEL IITC 2004 Surface scattering only Steinhogl MRS 2002 IMEC AMC 2003 NVLS IITC 2005 Cu Grains 1.7 0 50 100 150 200 250 Line Width (nm) Lower effective Cu resistivity with optimized plating chemistry & anneal P. 20

Summary Interconnect performance needs have led to the introduction of Cu and low k dielectrics Cu interconnects mainstream for Logic devices Transition to Cu interconnects underway for Memory devices The successful integration of Cu/low k has required the resolution of numerous technical challenges Cu/low k (k=2.9-3.0) in production at 90nm/65nm technology nodes Cu/low k (k=2.5) in development for 45nm production in 2008 Even greater challenges lie ahead Lower k dielectrics (k < 2.5), ultra thin (< 3nm) metal barriers, fill of sub-50nm features, scaling effects, etc. Collaboration between industry, universities and government is key to fostering innovative solutions and fueling the IC scaling engine P. 21