Outline. Semiconductor Industry Outlook Semiconductor Forecast Equipment Forecast Material Forecast

Similar documents
SEMI Equipment and Materials Outlook. Daniel Tracy Senior Director Industry Research & Statistics Group at SEMI in San Jose, California

Industry Research and Statistics Q Snapshots

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ

The Power of [Taiwan]

China s impact on the semiconductor industry: 2015 update

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

A Career that Revolutionises & Improves Lives

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

How To Make Money From Semiconductor Production

Sustaining profitable growth Business focus and update

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

UBS Technology Conference

Market Forecast Report -Semiconductor and FPD Manufacturing Equipment-

Concevoir et produire des semiconducteurs en Europe: une Utopie? Let s have a look

Advanced-packaging technologies: The implications for first movers and fast followers

SEMICONDUCTOR INDUSTRY ASSOCIATION FACTBOOK

SUSS MICROTEC INVESTOR PRESENTATION. September 2014

How To Make A Profit From Semiconductors

Cell Phone Memory Industry Report, 2009

Global Semiductor Industry / Logistics ERP / Supply Chain Management. Seminar. Cliff.Chen April 01, 2008

Welcome & Introduction

The Move to the next Silicon Wafer Size

Samsung Electronics Co., Ltd.

K&S Interconnect Technology Symposium

Semi Networking Day Packaging Key for System Integration

Contents REPORT OF THE SUPERVISORY BOARD 45 CORPORATE GOVERNANCE 50 OTHER INFORMATION 112

Global Semiconductor Packaging Materials Outlook

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2013

SUSS MICROTEC INVESTOR PRESENTATION. May 2014

KOREAN ELECTRONIC INDUSTRY. ED RESEARCH Co. Ltd JEWON LEE / Senior Researcher

3System. Solid State System (3S) 選 擇 鑫 創 選 擇 創 新 鑫 創 科 技 :3259

Annual Report 2010 INNOVATION IS OUR TRADITION

Net Sales. Cost of Sales, Selling, General & Administrative Expenses, and Operating Income

Wacker Chemie AG Conference Call FY 2013

THE NEW WORLD OF ADVANCED PACKAGING. Annual Report 2011

The LED industry Building scalable operations for rapid, profitable growth

Second Quarter Results of Operations

ANNUAL GENERAL MEETING APRIL 30, 2015

IMEC S BUSINESS MODEL. M. Van Rossum

CANACCORD GENUITY GROWTH CONFERENCE AUGUST 12-13, 2015

K&S to Acquire Assembléon Transaction Overview

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Supply chain status and a look into the history of these shocks. WildPhotons: I have a new philosophy...

This page is a hidden page. To keep from printing this page, uncheck the checkbox for printing invisible pages in the printing dialog box.

ARM Processor Evolution

Consolidated Financial Review for the Second Quarter Ended September 30, 2014

INVESTOR PRESENTATION Q RESULTS OCTOBER 22, October 22, 2015 Page 1

Company Presentation. February Sustainable Technologies Conference. June 8, 2011

Dry Film Photoresist & Material Solutions for 3D/TSV

FY2015 1Q Results Summary

Volvo Group Purchasing. VÄLKOMMEN TILL STORA LEVERANTÖRSDAGEN Volvo Group Trucks

Nasdaq: ASYS. Amtech Systems, Inc. Solar & Semiconductor Solutions. J.S. Whang Chairman & Chief Executive Officer

Think Veranstaltung PG Tägerwilen & Gottlieben

Annual Repor t 2012 c r e a t i v i t y & f l e x i b i l i t y

PCN Structure FY 13/14

INVESTOR PRESENTATION FEBRUARY 2015

The Internet of Everything or Sensors Everywhere

Seoul Semiconductor Europe

China s impact on the semiconductor industry: 2015 update

Figure 1 Wafer with Notch

BEYOND BORDERS TH E GL O BAL SEMI CO NDU CT O R VA L U E CH AI N. How an Interconnected Industry Promotes Innovation and Growth

How does a venture capitalist appraise investment opportunities?

Benchmarking Semiconductor Manufacturing. A Research Program at the University of California at Berkeley

How To Increase Areal Density For A Year

KPMG Global Semiconductor Survey

Opportunities for Sapphire. by Jamie Fox, Lighting and LEDs, IMS Research

ASM INTERNATIONAL N.V. REPORTS THIRD QUARTER 2015 RESULTS

Semiconductor Business Presentation

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Creating Affordable Silicon

The Impact of IoT on Semiconductor Companies

Press Presse Prensa. For the business and financial press Munich, July 24, Siemens in the third quarter (April 1 to June 30) of fiscal 2002

Applications for Low Density SLC NAND Flash Memory

INVESTOR PRESENTATION AUGUST 2015

Changing industry dynamics. Rudy Provoost Senior Vice President Royal Philips Electronics CEO Global Sales and Services Philips Consumer Electronics

China s Computer Industry: Manufacturing to Product Development

INVESTOR PRESENTATION NOVEMBER 2015

DIALOG SEMICONDUCTOR ANNOUNCES RESULTS FOR THE FIRST QUARTER OF 2012

Company Presentation. July 2015

Inside the Data and Analytics-Driven Organization: Building Teams and Choosing Teams

Siltronic a leading producer of silicon wafers. Fact Book 2016 Investor Relations, June 30, 2016

MARKET ANALYSIS AND KEY TRENDS FROM FD SOI PERSPECTIVE (SEPTEMBER 22, 2014)

Consolidated Business Performance for Fiscal Year 2013 (Based on Japan GAAP)

Business Plan PEGASUS Results in 2011 and Strategy for 2012 SHOWA DENKO K.K. Hideo Ichikawa, President and CEO

Skyworks Solutions, Inc. Mexicali Operations

Supply Chain Management

Summary Translation of Question & Answer Session at FY 2012 First Quarter Financial Results Briefing for Analysts

Howelliott.Com Is A Major Supplier Of Aeroceo

Optimum Solutions Supplied Globally by Hitachi s Consulting Service

Overview of Asian Insurance Markets

Fujitsu Laboratories R&D Strategy April 13, 2007 Kazuo Murano President Fujitsu Laboratories, Ltd.

Improving Quality and Yield Through Optimal+ Big Data Analytics

Global Invacom Group Limited Completing The Picture. SGX Connect Seminar 5 March 2015

Market Intelligence & Consulting Institute

Creating value in the semiconductor industry

COMPLEXITY AND INTERNATIONALISATION OF INNOVATION

Micron Quality Manual

Digital- Company. Task 2: Maximize business efficiency through optimized supply chain and simple, speedy decision processes

Why is Chip Design Moving to Asia?

Transcription:

SEMI Market Update

Outline Semiconductor Industry Outlook Semiconductor Forecast Equipment Forecast Material Forecast Regional Highlights Europe Japan China Southeast Asia (SEA) Summary

Industry Outlook

Semiconductor Cycles 298 300 292 306 325 336 351 359 256 249 226

Regional Equipment Markets- Fab, Packaging, Test, and Other Equipment 2014F 2015F % Region $B $B Change Europe 2.49 3.68 48% China 4.98 5.06 2% North America 7.15 7.33 3% South Korea 6.94 7.98 15% SEA 1.66 2.05 23% Japan 3.65 4.22 16% Taiwan 11.57 12.27 6% Total Regions 38.44 42.59 11% Totals may not add due to rounding Source: SEMI

Fab Equipment Spending- Driven by Foundry and Memory

Global Silicon Wafer Diameter Trends Millions of Square Inches 75 mm 100 mm 125 mm 150 mm 200 mm 300 mm Includes polished and epi wafers. Excludes reclaim, non polished, and SOI. Source: Rose Associates 1978 to 1995; SEMI SMG 1995 to 2011; SEMI January 2014

Total Regional Materials Markets 2014F = $44.7 Billion Totals may not add due to rounding Source: SEMI Materials Market Data Subscription August 2014

Regional Highlights

Europe Market Summary Installed Fab Capacity Packaging & Test Semiconductor Materials 2015 Outlook 1.8 million 200mm equiv./month SEMI is tracking 200 front-end facilities in Europe/MidEast Region >60 production facilities*; including focus on wafer bumping, WLP, and 3D packaging ~$3.2 billion Semiconductor Equipment Approaching $3.6 billion in 2015 Source: SEMI World Fab Forecast, WWSEMS, & MMDS; *- Yole Developpement

Europe Manufacturing Intel Ireland & Israel Lexlip: upgrade and capacity expansion of Fab 24 for 14nm Kiryat Gat: conversion to 300mm; potential $1B+ Equipment spend in 2015 ST Micro Crolles 2: some 28/32nm production ramp, and start of 14nm FDSOI GlobalFoundries 28nm upgrades to Fab 1 at Dresden. Infineon 300mm power semiconductor investments at Villach and Dresden. IMEC 300mm fab extension Process modules with 450mm capability

Japan Market Summary Installed Fab Capacity Packaging & Test 2015 Outlook Restructuring is on-going, though ~4.0 million 200mm equiv. wafers/month ~21% of global capacity Focus on advanced packaging Semiconductor Materials ~$7.5 billion Semiconductor Equipment $4.2 billion in 2015 Source: SEMI World Fab Forecast, WWSEMS, & MMDS

Japan Manufacturing Consolidation/fab-lite trend continues Amkor increased ownership stake in J-Devices TowerJazz acquired three Panasonic fabs in Japan Fujitsu s chip production JV with UMC. Fab activities Flash Alliance (Toshiba/SanDisk) to further expand and upgrade Fab 5; construction on Fab 6 Micron-Elpida technology upgrade On-going SONY investments (300mm) in CMOS Image Sensors Japan headquartered material suppliers have >50% share of global semiconductor market Equipment makers in Japan have estimated ~35% share of the global market

China Market Key Facts Installed Fab Capacity Packaging & Test 2015 Outlook ~2.5 million 200mm wafers/month ~13% of global capacity >$10 billion in revenues Strong presence by overseas companies Semiconductor Materials Semiconductor Equipment SMIC/JCET announced JV for 12 wafer bumping ~$6.1 billion $4 billion to $5 billion range Source: SEMI World Fab Forecast, WWSEMS, & MMDS

China Manufacturing Overseas semiconductor companies Samsung beginning capacity ramp of NAND fab in Xian; SK Hynix ongoing technology spending at Wuxi fab Domestic semiconductor companies SMIC s key project is the new Beijing fab; capex at >$700M Foundries adding 200mm capacity Packaging in China Jiangsu Changjiang Electronics Technology (JCET) and SMIC announced JV for 12 wafer bumping to strengthen China supply chain for 12 and 28 nm technologies Domestic suppliers Providing chemicals, CMP slurries, packaging substrates, bonding wire, leadframes, and others. Equipment includes tools for wafer bumping & TSV, test and assembly.

Southeast Asia Market Key Facts Installed Fab Capacity Packaging & Test Semiconductor Materials Semiconductor Equipment 2015 Outlook ~1.3 million 200mm equiv. wafers/month Strong presence of subcon and IDM facilities in the region (including 20 assembly & test operations in Singapore) ~$7.1 billion ~$2.0 billion range Source: SEMI World Fab Forecast, WWSEMS, & MMDS

Southeast Asia Manufacturers Foundries Globalfoundries is converting Fab 6 to 300mm and some expansion at Fab 7. UMC is expanding 40nm process capability at Fab 12i. Memories The post Micron-Elpida merger integration has transformed Singapore fabs into NAND flash-centric production site within Micron. Expect to see 3D NAND investment in latter part of 2015. LED Philips Lumileds and Osram are expanding chip and packaging capacity. Packaging Amkor acquired Toshiba Electronics Malaysia (packaging) UTAC to acquire Panasonic assembly plants in Southeast Asia

Summary Overall Outlook - Mid to high single-digit revenue growth for semiconductors for 2014 and into 2015 - Mobility, wireless, and connectivity remain the growth engines especially for leading edge investments and advanced packaging. Semiconductor Equipment & Materials Market - Expect a recovery in equipment spending this year and next following two years of spending decline. - Low single-digit growth for materials (downward pricing pressures abundant)