FPGA-Centric Functional Verification

Size: px
Start display at page:

Download "FPGA-Centric Functional Verification"

Transcription

1 FPGA-Centric Functional Verification Mark Litterick Senior Consultant, Verilab Ltd. Scotland & Ireland Designers Forum 2002

2 Presentation Outline Complex FPGA verification problem Propose a practical solution based on modified ASIC methodology Key verification aspects of FPGAs Overview of methodology Conclusion Scotland & Ireland Designers Forum 2

3 FPGA Verification Problem Traditional ad-hoc FPGA verification is inadequate for modern complex FPGAs Longer design cycle Increased time-to-market Major issues include: Debug Fixes introduce new bugs Back-end build-and-test loop too slow System & S/W correspondingly complex Scotland & Ireland Designers Forum 3

4 Adapt ASIC Methodology Similar complexity problems Capitalise on unique FPGA features Invest in proper simulation testbenches Appropriate back-end processes Scotland & Ireland Designers Forum 4

5 FPGAs are Special Biggest advantage: Re-programmable Fix bugs Phased product releases Prototype ASICs Evolve with specifications Field upgrades Biggest disadvantage: Re-programmable Relied on to fix bugs Promotes trial-and-error engineering Scotland & Ireland Designers Forum 5

6 FPGA - Similarities to ASIC Require to verify every feature Regression is required Independent verification => better quality Scotland & Ireland Designers Forum 6

7 FPGA - Differences to ASIC Do not have to simulate every feature Ability to system test features that are: Slow to simulate Difficult to emulate Regression simulations do not have to cover all features System regression tests augment regression simulations Scotland & Ireland Designers Forum 7

8 Overview of FPGA Methodology What is it? Who needs it? What are the benefits? Scotland & Ireland Designers Forum 8

9 What is FPGA Verification? Methodology Ensure FPGAs in a system have required functionality Functionality includes both correctness and performance Verification strategy includes: Simulation System Test Regression Scotland & Ireland Designers Forum 9

10 Who Needs FPGA Verification High-complexity applications Large gate-count applications High-quality applications Expensive field upgrade applications Scotland & Ireland Designers Forum 10

11 Benefits of a Good Methodology Minimize cycle time Maximize quality Certify conformance Debug environment Repeatability through regression Maximize ROI through reuse Scotland & Ireland Designers Forum 11

12 Overview of Methodology DESIGN FOR VERIFICATION SPECIFICATION VERIFICATION PLANNING DESIGN TESTBENCH DESIGN SYNTHESIS & BUILD CODE REVIEWS COMPEXITY MANAGEMENT SIMULATION SIMULATION REGRESSION BACK-END VERIFICATION SYSTEM TEST BUILD CONTROL SYSTEM REGRESSION ISSUE TRACKING DONE Scotland & Ireland Designers Forum 12

13 Overview of Methodology DESIGN FOR VERIFICATION SPECIFICATION VERIFICATION PLANNING DESIGN TESTBENCH DESIGN SYNTHESIS & BUILD CODE REVIEWS COMPEXITY MANAGEMENT SIMULATION SIMULATION REGRESSION BACK-END VERIFICATION SYSTEM TEST BUILD CONTROL SYSTEM REGRESSION ISSUE TRACKING DONE Scotland & Ireland Designers Forum 13

14 Design For Verification What is DFV? Addition of operational mode to allow other features to be simulated faster or easier How does it compare to DFT? DFT is for manufacturing test vectors DFT is about improving test coverage or shortening test time More important in FPGA than ASIC Faster simulations using DFV modes Only system tests in full-functional mode Scotland & Ireland Designers Forum 14

15 Design For Verification Implementation: minimal point of application real features must operate normally in actual source, not a separate design Examples: short-frame modes special line-standards reduced FIFO depth large counter control/load Scotland & Ireland Designers Forum 15

16 DFV : SDH Short-Frame Mode STM-1 Frame STM-1 Short-Frame RSOH RSOH 9 AU Pointer MSOH P O H TX1 FPGA PAYLOAD DFV AU Pointer MSOH P O H PAY LOAD STM-1 STM-4 STM-16 STM-64 : Frame Length : Short-Frame : Scotland & Ireland Designers Forum 16

17 Verification Plan Identifies set of essential functional features Identifies where verified Used to specify verification effort Used to plan verification effort Prioritise Specifies criteria for verification completion Enables functional coverage analysis Manage split between sim and test Just as crucial to FPGA as ASIC Scotland & Ireland Designers Forum 17

18 Overview of Testbench Design More important for FPGA than ASIC ROI through reuse Not justified in one ASIC/FPGA Language HLVL or HDL Structure Design for reuse Package for maintenance Layer for flexibility Abstract interfaces Scotland & Ireland Designers Forum 18

19 Design for Reuse Generic Verification Components Fix interfaces early - evolve functionality Encapsulate Package related functionality separately Package user modifiable stuff separately Scotland & Ireland Designers Forum 19

20 Package for Maintenance If a testbench is difficult to maintain it will not be maintained Documentation is crucial Modularise by packaging Improving maintainability also improves reuse Scotland & Ireland Designers Forum 20

21 Layer for Flexibility REGRESSION ENVIRONMENT TEST CASE TESTBENCH API TEST PROCEDURES API ACCESS UTILITIES API BUS FUNCTIONAL PROCEDURES BUS PROTOCOL VC DUV VC PHYSICAL LAYER Scotland & Ireland Designers Forum 21

22 Abstract Interfaces User Interface Hide complexity Only testbench designers need to be expert Command Interface Correct abstraction is crucial Operation level, not low-level BFPs Procedural Interface Encapsulate data structures Hide implementation detail Isolates modifications, e.g. change data format Scotland & Ireland Designers Forum 22

23 System Test System test used instead of simulation: Features that are slow to simulate Features that are difficult to emulate When the project pressure is on Revert to simulation for debug Scotland & Ireland Designers Forum 23

24 Simulation Debug Environment Ultimate logic analyser Testbench capable of simulation all features Target features for system test Use testbench to debug when they don t work! Single biggest improvement to minimising FPGA and product design cycle Scotland & Ireland Designers Forum 24

25 Back-End Verification Limited or no gate-level simulation Massive saving on simulation effort Static timing analysis Put effort into timing constraints Do not over-constrain Do not apply multiple guard-bands Formal Verification Equivalence checking Appropriate for transformation checking It s the synth/build tool s problem Scotland & Ireland Designers Forum 25

26 Regression Environment Regression Simulations Maximise feature set covered by simulations Limited to FPGA scope Fast debug System Regression Tests Reserve for specific features Long debug cycle when a test fails Failure could be S/W, H/W or FPGA Verification Plan is key to managing regression responsibilities Scotland & Ireland Designers Forum 26

27 Conclusion A recipe for successful functional verification of complex FPGAs: Take the best from ASIC Modify for the benefits of FPGA Invest in quality testbench design Focus on design-for-verification Use regression in simulation and system test Pull it all together with a verification plan Reuse it all in your next project! Scotland & Ireland Designers Forum 27

28 Contact Mark Litterick Senior Consultant Verilab Ltd. Willow House Strathclyde Business Park Bellshill Scotland ML4 3PB Phone: Mobile: Scotland & Ireland Designers Forum 28

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

DO-254 Requirements Traceability

DO-254 Requirements Traceability DO-254 Requirements Traceability Louie De Luna, Aldec - June 04, 2013 DO-254 enforces a strict requirements-driven process for the development of commercial airborne electronic hardware. For DO-254, requirements

More information

Virtual Platforms Addressing challenges in telecom product development

Virtual Platforms Addressing challenges in telecom product development white paper Virtual Platforms Addressing challenges in telecom product development This page is intentionally left blank. EXECUTIVE SUMMARY Telecom Equipment Manufacturers (TEMs) are currently facing numerous

More information

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows

Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Assertion Synthesis Enabling Assertion-Based Verification For Simulation, Formal and Emulation Flows Manual Assertion Creation is ABV Bottleneck Assertion-Based Verification adopted by leading design companies

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Introduction to Embedded Systems. Software Update Problem

Introduction to Embedded Systems. Software Update Problem Introduction to Embedded Systems CS/ECE 6780/5780 Al Davis logistics minor Today s topics: more software development issues 1 CS 5780 Software Update Problem Lab machines work let us know if they don t

More information

Business white paper. Best practices for implementing automated functional testing solutions

Business white paper. Best practices for implementing automated functional testing solutions Business white paper Best practices for implementing automated functional testing solutions Table of contents Contents 3 Introduction 3 Functional testing versus unit testing 4 The pros and cons of manual

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

Design for Verification Blueprint for Productivity and Product Quality

Design for Verification Blueprint for Productivity and Product Quality Design for Verification Blueprint for Productivity and Product Quality Rindert Schutten Tom Fitzpatrick Synopsys, Inc. April 2003 2003 Synopsys, Inc. Overview Emerging design and verification technologies

More information

Software Development: The Waterfall Model

Software Development: The Waterfall Model Steven Zeil June 7, 2013 Contents 1 Software Development Process Models 2 1.1 Components of the Waterfall Model................................. 2 1.1.1 What is a requirement?. 2 1.1.2 Testing..........

More information

Cisco Discovery 3: Introducing Routing and Switching in the Enterprise 157.8 hours teaching time

Cisco Discovery 3: Introducing Routing and Switching in the Enterprise 157.8 hours teaching time Essential Curriculum Computer Networking II Cisco Discovery 3: Introducing Routing and Switching in the Enterprise 157.8 hours teaching time Chapter 1 Networking in the Enterprise-------------------------------------------------

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware

Understanding DO-254 Compliance for the Verification of Airborne Digital Hardware White Paper Understanding DO-254 Compliance for the of Airborne Digital Hardware October 2009 Authors Dr. Paul Marriott XtremeEDA Corporation Anthony D. Stone Synopsys, Inc Abstract This whitepaper is

More information

Embedded Vision on FPGAs. 2015 The MathWorks, Inc. 1

Embedded Vision on FPGAs. 2015 The MathWorks, Inc. 1 Embedded Vision on FPGAs 2015 The MathWorks, Inc. 1 Enhanced Edge Detection in MATLAB Test bench Read Image from File Add noise Frame To Pixel Median Filter Edge Detect Pixel To Frame Video Display Design

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com.

White Paper. S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com. White Paper FPGA Prototyping of System-on-Chip Designs The Need for a Complete Prototyping Platform for Any Design Size, Any Design Stage with Enterprise-Wide Access, Anytime, Anywhere S2C Inc. 1735 Technology

More information

How To Test A Ppl

How To Test A Ppl Case Study on POS [Salini Sukumaran] [NeST] [05/06/2009] Network Systems & Technologies (P) Ltd. Page 1 1 About the Organization NeST is an Original Design Manufacturer (ODM) service provider focused on

More information

Service Virtualization:

Service Virtualization: Service Virtualization: Reduce the time and cost to develop and test modern, composite applications Business white paper Table of contents Why you need service virtualization 3 The challenges of composite

More information

Increasing Business Efficiency and Agility for ATGbased. Systems. the business challenge: upgrading the development pipeline

Increasing Business Efficiency and Agility for ATGbased. Systems. the business challenge: upgrading the development pipeline Increasing Business Efficiency and Agility for ATGbased ecommerce Systems This case study follows a Tier 1 retailer migrating to an ATG-based ecommerce platform and upgrading its software development process

More information

Chapter 3 Operating-System Structures

Chapter 3 Operating-System Structures Contents 1. Introduction 2. Computer-System Structures 3. Operating-System Structures 4. Processes 5. Threads 6. CPU Scheduling 7. Process Synchronization 8. Deadlocks 9. Memory Management 10. Virtual

More information

Eldorado Research Institute. Photo: Halo, Aug 2008 Campinas sky in the first working day at new Eldorado building

Eldorado Research Institute. Photo: Halo, Aug 2008 Campinas sky in the first working day at new Eldorado building Eldorado Research Institute Photo: Halo, Aug 2008 Campinas sky in the first working day at new Eldorado building Agenda Context: Sw offshoring and tech tendencies What is Eldorado Institute? Eldorado experience

More information

2-port STM-1/OC-3 Channelized E1/T1 Line Card for Cisco 12000 Series Internet Routers

2-port STM-1/OC-3 Channelized E1/T1 Line Card for Cisco 12000 Series Internet Routers 2-port STM-1/OC-3 Channelized E1/T1 Line Card for Cisco 12000 Series Internet Routers This feature module describes the Two-port STM-1/OC-3 Channelized E1/T1 line card and its use in the Cisco 12000 series

More information

CI for FPGA D&V. Continuous Integration for FPGA Design and Verification Verification Futures 2015-02-05. Alan Fitch, Ericsson TV Ltd

CI for FPGA D&V. Continuous Integration for FPGA Design and Verification Verification Futures 2015-02-05. Alan Fitch, Ericsson TV Ltd CI for FPGA D&V Continuous Integration for FPGA Design and Verification Verification Futures 2015-02-05 Alan Fitch, Ericsson TV Ltd Agenda Ericsson TV background Ericsson TV Firmware Group design flow

More information

design Synopsys and LANcity

design Synopsys and LANcity Synopsys and LANcity LANcity Adopts Design Reuse with DesignWare to Bring Low-Cost, High-Speed Cable TV Modem to Consumer Market What does it take to redesign a commercial product for a highly-competitive

More information

Objectives. The software process. Basic software process Models. Waterfall model. Software Processes

Objectives. The software process. Basic software process Models. Waterfall model. Software Processes Software Processes Objectives To introduce software process models To describe three generic process models and when they may be used To describe outline process models for requirements engineering, software

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Shorten your 11i Upgrade and Patching Cycles with Automated Testing. Rod Lehman Senior Director of Product Marketing

Shorten your 11i Upgrade and Patching Cycles with Automated Testing. Rod Lehman Senior Director of Product Marketing Shorten your 11i Upgrade and Patching Cycles with Automated Testing Rod Lehman Senior Director of Product Marketing Can You Make an Informed Go-Live Decision? Go / No-go? Go Will the application work as

More information

New Methodologies in Smart Card Security Design. Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8

New Methodologies in Smart Card Security Design. Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8 New Methodologies in Smart Card Security Design Y.GRESSUS Methodology and Secure ASIC development manager, Bull CP8 Japan Security Conference Page 2 Trends Opportunities New methodologies Summary Concurrent

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Scalable Web Programming. CS193S - Jan Jannink - 1/12/10

Scalable Web Programming. CS193S - Jan Jannink - 1/12/10 Scalable Web Programming CS193S - Jan Jannink - 1/12/10 Administrative Stuff Computer Forum Career Fair: Wed. 13, 11AM-4PM (Just in case you hadn t seen the tent go up) Any problems with MySQL setup? Review:

More information

Tensilica Software Development Toolkit (SDK)

Tensilica Software Development Toolkit (SDK) Tensilica Datasheet Tensilica Software Development Toolkit (SDK) Quickly develop application code Features Cadence Tensilica Xtensa Xplorer Integrated Development Environment (IDE) with full graphical

More information

Software Processes. The software process. Generic software process models. Waterfall model. Waterfall model phases

Software Processes. The software process. Generic software process models. Waterfall model. Waterfall model phases Software Processes CSC 221 Introduction to Software Engineering software processes extract from Sommerville s chapter 3 slides Alan Dix Coherent sets of activities for specifying, designing, implementing

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

An Analysis of Wireless Device Implementations on Universal Serial Bus

An Analysis of Wireless Device Implementations on Universal Serial Bus An Analysis of Wireless Device Implementations on Universal Serial Bus 6/3/97 Abstract Universal Serial Bus (USB) is a new personal computer (PC) interconnect that can support simultaneous attachment of

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

Die wichtigsten Use Cases für MISRA, HIS, SQO, IEC, ISO und Co. - Warum Polyspace DIE Embedded Code-Verifikationslösung ist.

Die wichtigsten Use Cases für MISRA, HIS, SQO, IEC, ISO und Co. - Warum Polyspace DIE Embedded Code-Verifikationslösung ist. Die wichtigsten Use Cases für MISRA, HIS, SQO, IEC, ISO und Co. - Warum Polyspace DIE Embedded Code-Verifikationslösung ist. Christian Guß Application Engineer The MathWorks GmbH 2015 The MathWorks, Inc.

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Chapter 2 System Structures

Chapter 2 System Structures Chapter 2 System Structures Operating-System Structures Goals: Provide a way to understand an operating systems Services Interface System Components The type of system desired is the basis for choices

More information

Modelli di sviluppo software. Enrico Giunchiglia

Modelli di sviluppo software. Enrico Giunchiglia Modelli di sviluppo software Enrico Giunchiglia The software development process A structured set of activities required to develop a software system, including Specification Design & Development Validation

More information

RhoMobile Suite. Develop applications for the next generation of business mobility

RhoMobile Suite. Develop applications for the next generation of business mobility RhoMobile Suite Develop applications for the next generation of business mobility With the Motorola Solutions RhoMobile Suite application development platform, you ll never have to write more than one

More information

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core 1 Introduction The implements, in conjunction with a triple speed 10/100/1000 MAC, Layer 3 network acceleration functions, which are designed to accelerate the processing of various common networking protocols

More information

Automated Software Testing Economics: A White Paper

Automated Software Testing Economics: A White Paper Automated Software Testing Economics: A White Paper Contents Automated Software Testing Economics 1 Automated Software Testing Case Study 2 Benefits of Automated Software Testing 4 About Master Key Consulting

More information

Latest Trends in Testing. Ajay K Chhokra

Latest Trends in Testing. Ajay K Chhokra Latest Trends in Testing Ajay K Chhokra Introduction Software Testing is the last phase in software development lifecycle which has high impact on the quality of the final product delivered to the customer.

More information

Software Module Test for an Electronic Steering Lock

Software Module Test for an Electronic Steering Lock Software Module Test for an Electronic Steering Lock Wolfgang Beer, Dr. Peter Jüttner, Daniel Simonis (external subcontractor), Siemens VDO Automotive AG Siemensstr. 12 93055 Regensburg, Germany Tel.:

More information

Software Engineering. Software Processes. Based on Software Engineering, 7 th Edition by Ian Sommerville

Software Engineering. Software Processes. Based on Software Engineering, 7 th Edition by Ian Sommerville Software Engineering Software Processes Based on Software Engineering, 7 th Edition by Ian Sommerville Objectives To introduce software process models To describe three generic process models and when

More information

SDN and Streamlining the Plumbing. Nick McKeown Stanford University

SDN and Streamlining the Plumbing. Nick McKeown Stanford University SDN and Streamlining the Plumbing Nick McKeown Stanford University What is SDN? (when we clear away all the hype) A network in which the control plane is physically separate from the forwarding plane.

More information

Software Engineering Introduction & Background. Complaints. General Problems. Department of Computer Science Kent State University

Software Engineering Introduction & Background. Complaints. General Problems. Department of Computer Science Kent State University Software Engineering Introduction & Background Department of Computer Science Kent State University Complaints Software production is often done by amateurs Software development is done by tinkering or

More information

Authorize.net modules for oscommerce Online Merchant.

Authorize.net modules for oscommerce Online Merchant. Authorize.net Authorize.net modules for oscommerce Online Merchant. Chapters oscommerce Online Merchant v2.3 Copyright Copyright (c) 2014 oscommerce. All rights reserved. Content may be reproduced for

More information

SIMATIC IT Unicam Test Expert

SIMATIC IT Unicam Test Expert NPI solutions for electronics Test engineering solutions for PCB Assembly: accelerated test and inspection SIMATIC IT Unicam Test Expert Answers for industry. Simatic IT for the electronics industry Simatic

More information

How To Write Software

How To Write Software Overview of Software Engineering Principles 1 Software Engineering in a Nutshell Development of software systems whose size/ complexity warrants a team or teams of engineers multi-person construction of

More information

Copyrighted www.eh1infotech.com +919780265007, 0172-5098107 Address :- EH1-Infotech, SCF 69, Top Floor, Phase 3B-2, Sector 60, Mohali (Chandigarh),

Copyrighted www.eh1infotech.com +919780265007, 0172-5098107 Address :- EH1-Infotech, SCF 69, Top Floor, Phase 3B-2, Sector 60, Mohali (Chandigarh), Content of 6 Months Software Testing Training at EH1-Infotech Module 1: Introduction to Software Testing Basics of S/W testing Module 2: SQA Basics Testing introduction and terminology Verification and

More information

Alternatives for Rule-based Application Development

Alternatives for Rule-based Application Development In this edition of Technology Review, Nelson Lin of WorldSoft Corporation presents a personal view of rule-based application development and the effect of different approaches on Return on Investment --

More information

x86 ISA Modifications to support Virtual Machines

x86 ISA Modifications to support Virtual Machines x86 ISA Modifications to support Virtual Machines Douglas Beal Ashish Kumar Gupta CSE 548 Project Outline of the talk Review of Virtual Machines What complicates Virtualization Technique for Virtualization

More information

Cluster, Grid, Cloud Concepts

Cluster, Grid, Cloud Concepts Cluster, Grid, Cloud Concepts Kalaiselvan.K Contents Section 1: Cluster Section 2: Grid Section 3: Cloud Cluster An Overview Need for a Cluster Cluster categorizations A computer cluster is a group of

More information

ARM Webinar series. ARM Based SoC. Abey Thomas

ARM Webinar series. ARM Based SoC. Abey Thomas ARM Webinar series ARM Based SoC Verification Abey Thomas Agenda About ARM and ARM IP ARM based SoC Verification challenges Verification planning and strategy IP Connectivity verification Performance verification

More information

Best Practices for Verification, Validation, and Test in Model- Based Design

Best Practices for Verification, Validation, and Test in Model- Based Design 2008-01-1469 Best Practices for Verification, Validation, and in Model- Based Design Copyright 2008 The MathWorks, Inc. Brett Murphy, Amory Wakefield, and Jon Friedman The MathWorks, Inc. ABSTRACT Model-Based

More information

Assurance in Service-Oriented Environments

Assurance in Service-Oriented Environments Assurance in Service-Oriented Environments Soumya Simanta Research, Technology, and System Solutions (RTSS) Program Software Engineering Institute Carnegie Mellon University Pittsburgh 15232 28 th October,

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Test Automation Framework

Test Automation Framework Test Automation Framework Rajesh Popli Manager (Quality), Nagarro Software Pvt. Ltd., Gurgaon, INDIA rajesh.popli@nagarro.com ABSTRACT A framework is a hierarchical directory that encapsulates shared resources,

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Networked Embedded Systems: Design Challenges

Networked Embedded Systems: Design Challenges Networked Embedded Systems: Design Challenges Davide Quaglia Electronic Systems Design Group University of Verona 3 a giornata nazionale di Sintesi Logica, Verona, Jun 21, 2007 Outline Motivation Networked

More information

Utilizing Domain-Specific Modelling for Software Testing

Utilizing Domain-Specific Modelling for Software Testing Utilizing Domain-Specific Modelling for Software Testing Olli-Pekka Puolitaival, Teemu Kanstrén VTT Technical Research Centre of Finland Oulu, Finland {olli-pekka.puolitaival, teemu.kanstren}@vtt.fi Abstract

More information

Overview of Routing between Virtual LANs

Overview of Routing between Virtual LANs Overview of Routing between Virtual LANs This chapter provides an overview of virtual LANs (VLANs). It describes the encapsulation protocols used for routing between VLANs and provides some basic information

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS

CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TECHNICAL PAPER CLOCK DOMAIN CROSSING CLOSING THE LOOP ON CLOCK DOMAIN FUNCTIONAL IMPLEMENTATION PROBLEMS TABLE OF CONTENTS 1 Overview...........................................................................1

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

Tonight s Speaker. Life of a Tester at Microsoft Urvashi Tyagi Software Test Manager, Microsoft

Tonight s Speaker. Life of a Tester at Microsoft Urvashi Tyagi Software Test Manager, Microsoft Tonight s Speaker Life of a Tester at Microsoft Urvashi Tyagi Software Test Manager, Microsoft You will learn about what a software tester does at Microsoft, how the role interfaces with program managers

More information

How your business can successfully monetize API enablement. An illustrative case study

How your business can successfully monetize API enablement. An illustrative case study How your business can successfully monetize API enablement An illustrative case study During the 1990s the World Wide Web was born. During the 2000s, it evolved from a collection of fragmented services

More information

Use of Reprogrammable FPGA on EUCLID mission

Use of Reprogrammable FPGA on EUCLID mission 19/05/2016 Workshop su Applicazioni FPGA in ambito Astrofisico Raoul Grimoldi Use of Reprogrammable FPGA on EUCLID mission Euclid mission overview EUCLID is a cosmology mission part of Cosmic Vision 2015-2025

More information

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com

ARM Ltd 110 Fulbourn Road, Cambridge, CB1 9NJ, UK. *peter.harrod@arm.com Serial Wire Debug and the CoreSight TM Debug and Trace Architecture Eddie Ashfield, Ian Field, Peter Harrod *, Sean Houlihane, William Orme and Sheldon Woodhouse ARM Ltd 110 Fulbourn Road, Cambridge, CB1

More information

Universal Flash Storage: Mobilize Your Data

Universal Flash Storage: Mobilize Your Data White Paper Universal Flash Storage: Mobilize Your Data Executive Summary The explosive growth in portable devices over the past decade continues to challenge manufacturers wishing to add memory to their

More information

Information Systems Development Process (Software Development Life Cycle)

Information Systems Development Process (Software Development Life Cycle) Information Systems Development Process (Software Development Life Cycle) Phase 1 Feasibility Study Concerned with analyzing the benefits and solutions for the identified problem area Includes development

More information

TESSY Automated dynamic module/unit and. CTE Classification Tree Editor. integration testing of embedded applications. for test case specifications

TESSY Automated dynamic module/unit and. CTE Classification Tree Editor. integration testing of embedded applications. for test case specifications TESSY Automated dynamic module/unit and integration testing of embedded applications CTE Classification Tree Editor for test case specifications Automated module/unit testing and debugging at its best

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50% By Kishore Karnane and Corey Goss, Cadence Design Systems If you re spending more than 50% of your verification effort in debug,

More information

Hunting Asynchronous CDC Violations in the Wild

Hunting Asynchronous CDC Violations in the Wild Hunting Asynchronous Violations in the Wild Chris Kwok Principal Engineer May 4, 2015 is the #2 Verification Problem Why is a Big Problem: 10 or More Clock Domains are Common Even FPGA Users Are Suffering

More information

abcdefghijklmnopqrstu

abcdefghijklmnopqrstu Cabinet Secretary for Finance, Employment and Sustainable Growth John Swinney MSP abcdefghijklmnopqrstu T: 0845 774 1741 E: scottish.ministers@scotland.gsi.gov.uk 29 September 2011 Last week s Spending

More information

Enhancing High-Speed Telecommunications Networks with FEC

Enhancing High-Speed Telecommunications Networks with FEC White Paper Enhancing High-Speed Telecommunications Networks with FEC As the demand for high-bandwidth telecommunications channels increases, service providers and equipment manufacturers must deliver

More information

Fujitsu s DFX Application

Fujitsu s DFX Application Fujitsu s DFX Application V Yukio Sekiya V Hideaki Takahashi (Manuscript received May 26, 2006) Improvements in product design are conventionally made after the start of massproduction, followed by reductions

More information

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed NAME OF REPORT SECTION 3 HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed Faster is more profitable in the front office

More information

ATV Data Link Simulator: A Development based on a CCSDS Layers Framework

ATV Data Link Simulator: A Development based on a CCSDS Layers Framework SpaceOps 2010 ConferenceDelivering on the DreamHosted by NASA Mars 25-30 April 2010, Huntsville, Alabama AIAA 2010-2089 ATV Data Link Simulator: A Development based on a CCSDS

More information

Interworking issues between 10GBE WAN and existing transmission network

Interworking issues between 10GBE WAN and existing transmission network IEEE G.802.3ae May 23-25 St Louis Interworking issues between 10GBE WAN and existing transmission network Jean Loup FERRANT ALCATEL jean-loup.ferrant@alcatel.fr 10GBE WAN interworking issues 1 introduction

More information

HDL Simulation Framework

HDL Simulation Framework PPC-System.mhs CoreGen Dateien.xco HDL-Design.vhd /.v SimGen HDL Wrapper Sim-Modelle.vhd /.v Platgen Coregen XST HDL Simulation Framework RAM Map Netzliste Netzliste Netzliste UNISIM NetGen vcom / vlog.bmm.ngc.ngc.ngc

More information

Software Engineering. Software Development Process Models. Lecturer: Giuseppe Santucci

Software Engineering. Software Development Process Models. Lecturer: Giuseppe Santucci Software Engineering Software Development Process Models Lecturer: Giuseppe Santucci Summary Modeling the Software Process Generic Software Process Models Waterfall model Process Iteration Incremental

More information

We drive Aurix to success Aurix Services for Automotive & Industrial

We drive Aurix to success Aurix Services for Automotive & Industrial Building a safe and secure embedded world We drive Aurix to success Aurix Services for Automotive & Industrial > Services HITEX: An Preferred Design House (PDH) Questions about? Ask us Aurix Services for

More information

Using WebLOAD to Monitor Your Production Environment

Using WebLOAD to Monitor Your Production Environment Using WebLOAD to Monitor Your Production Environment Your pre launch performance test scripts can be reused for post launch monitoring to verify application performance. This reuse can save time, money

More information

Introduction to Automated Testing

Introduction to Automated Testing Introduction to Automated Testing What is Software testing? Examination of a software unit, several integrated software units or an entire software package by running it. execution based on test cases

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Empowering Productivity through Installation Automation

Empowering Productivity through Installation Automation Authors: Empowering Productivity through Installation Automation Bhuvaneswari Radhakrishnan Santosh Ayodhya Honeywell Technology Solutions Adarsh Prime Project Pvt. Ltd, Survey No. 19/2 Devarabisanahalli

More information

How To Solve The Network Function Virtualisation Puzzle

How To Solve The Network Function Virtualisation Puzzle The SDN/NFV puzzle and its missing pieces_ Pedro A. Aranda Gutiérrez Telefonica I+D October 2015 Introduction Standardisation vs. Delivery An uneven battle with uneven arms The NSP Cycle The CAP Cycle

More information

Virtualization, SDN and NFV

Virtualization, SDN and NFV Virtualization, SDN and NFV HOW DO THEY FIT TOGETHER? Traditional networks lack the flexibility to keep pace with dynamic computing and storage needs of today s data centers. In order to implement changes,

More information

NetComplete Service Assurance Solutions Portfolio

NetComplete Service Assurance Solutions Portfolio NetAnalyst Test Management Software Automated, Centralized Network Testing NetComplete Service Assurance Solutions Portfolio Ensure High Quality of Service with NetAnalyst As telecommunications networks

More information

Testing Intelligent Device Communications in a Distributed System

Testing Intelligent Device Communications in a Distributed System Testing Intelligent Device Communications in a Distributed System David Goughnour (Triangle MicroWorks), Joe Stevens (Triangle MicroWorks) dgoughnour@trianglemicroworks.com United States Smart Grid systems

More information