Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian

Size: px
Start display at page:

Download "Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian"

Transcription

1 Directed Self- Assembly of Block Copolymers; an Alternative Tool for Sub- 20 nm Lithography Parvaneh Mokarian Department of Chemistry, University College Cork (UCC) and Tyndall National Institute, Cork, Ireland & Centre for Research on Adaptive Nanostructures and Nanodevices (CRANN), Trinity College Dublin Intel ERIC Conference, Croke Park, Dublin, 3-4 th Oct 2012

2 Overview What is a polymer? N: the degree of polymerisation (The number of monomers in a polymer ) Styrene monomer Polystyrene

3 Block Copolymers Covalent bond A n B n AB : Flory- Huggins interaction parameters The higher the The higher the chance of phase separation as cast polymer film after annealing A n B n

4 Thermodynamics of phase separation Two parameters control the phase behaviour of diblock copolymers: The volume fraction of A block : f A Combined interaction parameter: AB.N G mix /kt = (ln (f A ))/N A + (ln (f B ))/N B + AB f A f B AB 1 T Entropic terms Enthalpic term For phase separation: AB N 10.5

5 Thermodynamics of phase separation Block Copolymer s Phase Diagram

6 Applications Silica nanocone arrays with gold functionalized tip using BCPs as a nanolithography template Lindarti Purwaningsi, Beilstein J Nanotechnol. 2011; 2:

7 Applications 25 nm Magnetic Nanodot Arrays using BCP as nanolithography template A. Baruth et al, ACS Appl. Mater. Interfaces, 2011, 3 (9), pp Block copolymer thin film membrane for selective species transport for energy application Osoji lab,

8 Applications Controlled drug delivery Lo, K. et al, Acs Nano 2009, 3 (9),

9 In our lab Using BCPs as template to make sub 10 nm nanowires for transistors!! Creating nano patterns for other applications!

10 Hexagonal Phase PS-b-PEO Poly (Styrene-b- ethylene oxide) M w :42K-11.5K PS: amorphous, T g = 103 o C, ƒ PS = 0.8 PEO: crystalline, T g = -61 o C PS PEO N 40 (at 50 o C)

11 Creating line and dot pattern in PS-b-PEO Flipping of Morphology 10 min 20 min 40 min 60 min 75 min 90 min 105 min 120 min Mokarian-Tabari, P.; Morris, M. A. et al, Acs Nano 2011, 5 (6),

12 The Thermodynamics of the Swelling Swelling in polymers: mass uptake + chain stretching dc dt M ( t) d dx c0 dc D( ) dt Dt Fick s second low Case I diffusion Glassy polymer Case II diffusion ᵠ ᵠc Osmotic pressure Chains immobilised The front plasticised glassy d dt Elongational viscosity

13 Nano dots/pillars made by PS-b-PEO PEO block has been partially removed PS-b-PEO PS-b-PEO after wet etch in HI Protective cap 50 nm Si nano pillars EM cross section after pattern transfer Enhancing the etch contrast by iron oxide inclusion Patent: M.A. Morris, T. Ghoshal, D. Borah & P. Mokarian-Tabari T. Ghoshal, M.A. Morris et al, Adv. Mater. 2012, 24 (18),

14 Micro/Nano Patterns in Nature Combination of texture and material properties Superhydrophobic/superhydrophilic Anti reflective surfaces Self cleaning Water harvesting surfaces Park K., Barbastathis G., Acs Nano 2012, 6 (5),

15 Nano features Functionalised nano pillars Nano patterns + chemical functionalization Superhydrophobic property Wenzel state Cassie- Baxter state

16 Nanowire Challenges Smaller feature size,. N, chance of phase separation (investing in new polymer system with higher such as PS-PDMS, PS-PLA and PS- PEO) Etch contrast Defect free pattern (<0.01 cm -2 ) Directed self assembly (DSA) Confinement (trench substrate) Chemistry (surface functionalisation, selective side wall chemistry)

17 Directed Self Assembly Graphoepitaxy: Confinement effect PS-b-PEO Penalty associated with chain stretching

18 Directed Self Assembly Sparse Chemical pre-patterns 10 nm Nano-post for DSA Square arrays P. Nealey C.A. ROSS C.J. Hawker

19 Why Lamellar systems? Dot pattern Line pattern Etching issues!! Neutralised layer (brush chemistry) Parallel alignment (Due to the affinity of one of the blocks to the substrate/air interface)

20 ITRS Lithography

21 Microwave annealing Frequency: 2.4 GHz Power output 300 ±10% watts Using microwave for BCP self assembly: Zhang, X.J., et al.,. Acs Nano, , & Zhang, X.J., et al, Macromolecules 2011, 44,

22 Microwave annealing (Lamellar PS-b-PEO) T = 58 o C, 300 watts, solvents: toluene + water Si substrate water after 15 s!! after 30 s toluene Half pitch: 17 nm after 60 s after 120 s

23 Microwave annealing (Lamellar PS-b-PLA) Poly (styrene-b-lactic acid) Mn: (21k-19.5k), PDI:1.06 =0.22 PLA water soluble Good etch contrast Phase separated PS-b-PLA after solvent annealing in microwave for a few seconds! Excellent coverage (almost 100%) Unpublished data

24 Etch Contrast (Lamellar PS-b-PLA) Reactive Ion Etch Before etch Unpublished data After 15 s etch

25 Pattern Transfer (PS-b-PLA) 16 nm Si nanowires Pattern transfer in ICP/RIE, SF 6 /CHF 3 SEM cross section Unpublished data

26 He ion microscope SEM (poor contrast) PS-b-PMMA prior to etching A. Bell, CRANN He ion (excellent contrast)

27 Summary Thermodynamics of phase separation in BCPs (f A, AB N ) Dot and line pattern in cylinder forming PS-b-PEO Functionalised nano pillars with superhydrophobic properties Microwave annealing (process time is reduced to minutes) Perpendicular alignment in Lamellar PS-b-PEO without any brush or surface functionalisation PS-b-PLA system (sub 20 nm nanowires)

28 Acknowledgement Prof. M.A. Morris Cian Cummins, Timothy Collins, Sozaraj Rasappa, Ramsankar Senthamaraikannan, Dr. Benjamin O Driscoll, Vladimir Djara, Dr. Tandra Ghoshal, Dr. Dipu Borah, Dr. Alan Bell, Atul Chaudhari, Prof J. Holmes Intel Advanced Laboratory (IAL) and staff: Matt Shaw, Peter Gleeson, Christopher Murray and Jennifer McKenna AML (Advanced Microscopy Laboratory), CRANN, Trinity College Dublin CRANN/ CSET / SFI (Science Foundation Ireland) for funding Staff of the mechanical and electrical workshop, training fab and clean room and microscopy in Tyndall p.mokarian@ucc.ie

Optimizing Materials and Processes for Directed SelfAssembly Applications

Optimizing Materials and Processes for Directed SelfAssembly Applications Optimizing Materials and Processes for Directed SelfAssembly Applications Phil Hustad, Jeff Weinhold, Rahul Sharma, Valeriy Ginzburg, Erin Vogel, Dan Murray, Vivian Chuang, Shih-Wei Chang, Peter Trefonas

More information

HIGH χ BLOCK COPOLYMERS FOR SUB 20 nm PITCH PATTERNING: SYNTHESIS, SOLVENT ANNEALING, DIRECTED SELF ASSEMBLY, AND SELECTIVE BLOCK REMOVAL

HIGH χ BLOCK COPOLYMERS FOR SUB 20 nm PITCH PATTERNING: SYNTHESIS, SOLVENT ANNEALING, DIRECTED SELF ASSEMBLY, AND SELECTIVE BLOCK REMOVAL HIGH χ BLOCK COPOLYMERS FOR SUB 20 nm PITCH PATTERNING: SYNTHESIS, SOLVENT ANNEALING, DIRECTED SELF ASSEMBLY, AND SELECTIVE BLOCK REMOVAL A Dissertation Presented to The Academic Faculty by Nathan Dudney

More information

Electronic Supplementary Information for: Macroscopic samples of polystyrene with ordered three-dimensional nanochannels

Electronic Supplementary Information for: Macroscopic samples of polystyrene with ordered three-dimensional nanochannels Electronic Supplementary Information for: Macroscopic samples of polystyrene with ordered three-dimensional nanochannels Huiming Mao and Marc A. Hillmyer* Department of Chemistry University of Minnesota

More information

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli

Introduction to VLSI Fabrication Technologies. Emanuele Baravelli Introduction to VLSI Fabrication Technologies Emanuele Baravelli 27/09/2005 Organization Materials Used in VLSI Fabrication VLSI Fabrication Technologies Overview of Fabrication Methods Device simulation

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/1162193/dc1 Supporting Online Material for Polymer Pen Lithography Fengwei Huo, Zijian Zheng, Gengfeng Zheng, Louise R. Giam, Hua Zhang, Chad A. Mirkin* *To whom correspondence

More information

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS

CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI. Photonics and Biophotonics Organics Synthesis - PhoBOS CAPITOLO III MATERIALI ASSEMBLATI E AUTOASSEMBLATI 1 Outline and motivation SA mono and multilayers on silica and silicon native oxide The chemistry of the process the effect of moisture the effect of

More information

Damage-free, All-dry Via Etch Resist and Residue Removal Processes

Damage-free, All-dry Via Etch Resist and Residue Removal Processes Damage-free, All-dry Via Etch Resist and Residue Removal Processes Nirmal Chaudhary Siemens Components East Fishkill, 1580 Route 52, Bldg. 630-1, Hopewell Junction, NY 12533 Tel: (914)892-9053, Fax: (914)892-9068

More information

Chapter 5: Diffusion. 5.1 Steady-State Diffusion

Chapter 5: Diffusion. 5.1 Steady-State Diffusion : Diffusion Diffusion: the movement of particles in a solid from an area of high concentration to an area of low concentration, resulting in the uniform distribution of the substance Diffusion is process

More information

Study on Wet Etching of AAO Template

Study on Wet Etching of AAO Template Study on Wet Etching of AAO Template Guofeng Hu, Haiming Zhang, Wenwen Di & Tingting Zhao School of Science, Tianjin Polytechnic University, Tianjin 300160, China E-mail: hugf2009@163.com Abstract The

More information

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography

Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Demonstration of sub-4 nm nanoimprint lithography using a template fabricated by helium ion beam lithography Wen-Di Li*, Wei Wu** and R. Stanley Williams Hewlett-Packard Labs *Current address: University

More information

h e l p s y o u C O N T R O L

h e l p s y o u C O N T R O L contamination analysis for compound semiconductors ANALYTICAL SERVICES B u r i e d d e f e c t s, E v a n s A n a l y t i c a l g r o u p h e l p s y o u C O N T R O L C O N T A M I N A T I O N Contamination

More information

III. Wet and Dry Etching

III. Wet and Dry Etching III. Wet and Dry Etching Method Environment and Equipment Advantage Disadvantage Directionality Wet Chemical Solutions Atmosphere, Bath 1) Low cost, easy to implement 2) High etching rate 3) Good selectivity

More information

Supporting information

Supporting information Supporting information Ultrafast room-temperature NH 3 sensing with positively-gated reduced graphene oxide field-effect transistors Ganhua Lu 1, Kehan Yu 1, Leonidas E. Ocola 2, and Junhong Chen 1 * 1

More information

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process

Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Improved Contact Formation for Large Area Solar Cells Using the Alternative Seed Layer (ASL) Process Lynne Michaelson, Krystal Munoz, Jonathan C. Wang, Y.A. Xi*, Tom Tyson, Anthony Gallegos Technic Inc.,

More information

Mean Field Flory Huggins Lattice Theory

Mean Field Flory Huggins Lattice Theory Mean Field Flory Huggins Lattice Theory Mean field: the interactions between molecules are assumed to be due to the interaction of a given molecule and an average field due to all the other molecules in

More information

Unit 12 Practice Test

Unit 12 Practice Test Name: Class: Date: ID: A Unit 12 Practice Test Multiple Choice Identify the choice that best completes the statement or answers the question. 1) A solid has a very high melting point, great hardness, and

More information

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns.

Photolithography. Class: Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. Photolithography Figure 12.1. Various ways in which dust particles can interfere with photomask patterns. 19/11/2003 Ettore Vittone- Fisica dei Semiconduttori - Lectio XIII 16 Figure 12.2. Particle-size

More information

Injection moulding and modelling on a micro scale

Injection moulding and modelling on a micro scale Injection moulding and modelling on a micro scale Technology Update Injection moulding and welding of plastics 11 November 2014 Research Projects (National / European) Micro/Nano/Multimaterial Manufacturing

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring

Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Low-cost Printed Electronic Nose Gas Sensors for Distributed Environmental Monitoring Vivek Subramanian Department of Electrical Engineering and Computer Sciences University of California, Berkeley RD83089901

More information

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope

Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope andras@nist.gov Nanometer-scale imaging and metrology, nano-fabrication with the Orion Helium Ion Microscope Bin Ming, András E. Vladár and Michael T. Postek National Institute of Standards and Technology

More information

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39

JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 JOURNAL INTEGRATED CIRCUITS AND SYSTEMS, VOL 1, NO. 3, JULY 2006. 39 Self-Assembled Polystyrene Micro-Spheres Applied for Photonic Crystals and Templates Fabrication Daniel S. Raimundo 1, Francisco J.

More information

Nanoscience Course Descriptions

Nanoscience Course Descriptions Nanoscience Course Descriptions NANO*1000 Introduction to Nanoscience This course introduces students to the emerging field of nanoscience. Its representation in popular culture and journalism will be

More information

Effect of Surface-Hydroxylated CdS Nanoparticles on the Morphological Transformation of Polystyrene-block-Poly(ethylene oxide) Thin Films a

Effect of Surface-Hydroxylated CdS Nanoparticles on the Morphological Transformation of Polystyrene-block-Poly(ethylene oxide) Thin Films a 1680 Communication Summary: Polystyrene-block-poly(ethylene oxide) (SEO) block copolymer thin films, in which CdS clusters have been sequestered into the PEO domains of the SEO block copolymers, are found

More information

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors.

Contamination. Cleanroom. Cleanroom for micro and nano fabrication. Particle Contamination and Yield in Semiconductors. Fe Particles Metallic contaminants Organic contaminants Surface roughness Au Particles SiO 2 or other thin films Contamination Na Cu Photoresist Interconnect Metal N, P Damages: Oxide breakdown, metal

More information

Over the last 50 years, commercial silicon photovoltaics

Over the last 50 years, commercial silicon photovoltaics Light Trapping in Silicon Nanowire Solar Cells Erik Garnett and Peidong Yang* Department of Chemistry, University of California, Berkeley, California 94720 pubs.acs.org/nanolett ABSTRACT Thin-film structures

More information

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography

What is optical lithography? The optical system Production process Future and limits of optical lithography References. Optical lithography Optical lithography Robin Nagel TUM 12. Januar 2009 Robin Nagel (TUM) Optical lithography 12. Januar 2009 1 / 22 1 What is optical lithography? 1 The optical system 1 Production process 1 Future and limits

More information

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting

Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting Optical Hyperdoping: Transforming Semiconductor Band Structure for Solar Energy Harvesting 3G Solar Technologies Multidisciplinary Workshop MRS Spring Meeting San Francisco, CA, 5 April 2010 Michael P.

More information

Opaline Photonic Crystals: How Does Self-Assembly Work?

Opaline Photonic Crystals: How Does Self-Assembly Work? Opaline Photonic Crystals: How Does Self-Assembly Work? David J. Norris Chemical Engineering & Materials Science, University of Minnesota 1μm silica spheres See: D. J. Norris, E. G. Arlinghaus, L. Meng,

More information

Adsorption at Surfaces

Adsorption at Surfaces Adsorption at Surfaces Adsorption is the accumulation of particles (adsorbate) at a surface (adsorbent or substrate). The reverse process is called desorption. fractional surface coverage: θ = Number of

More information

Dry Etching and Reactive Ion Etching (RIE)

Dry Etching and Reactive Ion Etching (RIE) Dry Etching and Reactive Ion Etching (RIE) MEMS 5611 Feb 19 th 2013 Shengkui Gao Contents refer slides from UC Berkeley, Georgia Tech., KU, etc. (see reference) 1 Contents Etching and its terminologies

More information

Mask Cleaning Processes and Challenges

Mask Cleaning Processes and Challenges Mask Cleaning Processes and Challenges Brian J. Grenon Grenon Consulting, Inc. 92 Dunlop Way Colchester, VT 05446 Phone: 802-862-4551 Fax: 802-658-8952 e-mail bgrenon@together.net Mask Supply Workshop

More information

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice.

Conductivity of silicon can be changed several orders of magnitude by introducing impurity atoms in silicon crystal lattice. CMOS Processing Technology Silicon: a semiconductor with resistance between that of conductor and an insulator. Conductivity of silicon can be changed several orders of magnitude by introducing impurity

More information

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator

Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Development of New Inkjet Head Applying MEMS Technology and Thin Film Actuator Kenji MAWATARI, Koich SAMESHIMA, Mitsuyoshi MIYAI, Shinya MATSUDA Abstract We developed a new inkjet head by applying MEMS

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Influence of Solder Reaction Across Solder Joints

Influence of Solder Reaction Across Solder Joints Influence of Solder Reaction Across Solder Joints Kejun Zeng FC BGA Packaging Development Semiconductor Packaging Development Texas Instruments, Inc. 6 th TRC Oct. 27-28, 2003 Austin, TX 1 Outline Introduction

More information

Focused Ion beam nanopatterning: potential application in photovoltaics

Focused Ion beam nanopatterning: potential application in photovoltaics Focused Ion beam nanopatterning: potential application in photovoltaics Research Infrastructure: Location: FIB-Focused Ion Beam ENEA Portici (Italy) Date March, 26 2013 Speakers: Vera La Ferrara, ENEA

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius

JePPIX Course Processing Wet and dry etching processes. Huub Ambrosius JePPIX Course Processing Wet and dry etching processes Huub Ambrosius Material removal: etching processes Etching is done either in dry or wet methods: Wet etching uses liquid etchants with wafers immersed

More information

How cryo will solve your problems

How cryo will solve your problems How cryo will solve your problems Nadejda B. Matsko FELMI, TU Graz, and ZFE Graz What for do we need cryo microscopy? Cryo fixation Conventional fixation (rapid rapid dehydration) High resolution microscopy

More information

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES

MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES MISCIBILITY AND INTERACTIONS IN CHITOSAN AND POLYACRYLAMIDE MIXTURES Katarzyna Lewandowska Faculty of Chemistry Nicolaus Copernicus University, ul. Gagarina 7, 87-100 Toruń, Poland e-mail: reol@chem.umk.pl

More information

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle

Lecture 11. Etching Techniques Reading: Chapter 11. ECE 6450 - Dr. Alan Doolittle Lecture 11 Etching Techniques Reading: Chapter 11 Etching Techniques Characterized by: 1.) Etch rate (A/minute) 2.) Selectivity: S=etch rate material 1 / etch rate material 2 is said to have a selectivity

More information

Chemical Structure of the polymer showing end groups: CH 3 CH 2 CH H

Chemical Structure of the polymer showing end groups: CH 3 CH 2 CH H Polymer Reference Materials-Polystyrene Introduction Reference materials are used for calibration and performance evaluation of instruments used as part of overall quality assurance programs of polymer.

More information

Ion Beam Sputtering: Practical Applications to Electron Microscopy

Ion Beam Sputtering: Practical Applications to Electron Microscopy Ion Beam Sputtering: Practical Applications to Electron Microscopy Applications Laboratory Report Introduction Electron microscope specimens, both scanning (SEM) and transmission (TEM), often require a

More information

BIOL 305L Laboratory Two

BIOL 305L Laboratory Two Please print Full name clearly: Introduction BIOL 305L Laboratory Two Osmosis, because it is different in plants! Osmosis is the movement of solvent molecules through a selectively permeable membrane into

More information

Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended Electrodes

Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended Electrodes 06 (43)-AF:Modelo-AF 8/20/11 6:41 AM Page 122 Formation of Oriented Fibers Using Injection of PEO Solutions inside Electric Fields Defined by Two Parallel Suspended R. Furlan 1, J. A. M. Rosado 2, A. N.

More information

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties

Sputtered AlN Thin Films on Si and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties Sputtered AlN Thin Films on and Electrodes for MEMS Resonators: Relationship Between Surface Quality Microstructure and Film Properties S. Mishin, D. R. Marx and B. Sylvia, Advanced Modular Sputtering,

More information

IBS - Ion Beam Services

IBS - Ion Beam Services IBS - Ion Beam Services Profile Technologies Devices & sensor fabricat ion Participation to R&D programs Researched partnership Présentation activité composant 1 Profile : Products and services Product

More information

Back to Basics Fundamentals of Polymer Analysis

Back to Basics Fundamentals of Polymer Analysis Back to Basics Fundamentals of Polymer Analysis Using Infrared & Raman Spectroscopy Molecular Spectroscopy in the Polymer Manufacturing Process Process NIR NIR Production Receiving Shipping QC R&D Routine

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar

Light management for photovoltaics. Ando Kuypers, TNO Program manager Solar Light management for photovoltaics Ando Kuypers, TNO Program manager Solar Global energy consumption: 500 ExaJoule/Year Solar irradiation on earth sphere: 5.000.000 ExaJoule/year 2 Capturing 0,01% covers

More information

Modification of Graphene Films by Laser-Generated High Energy Particles

Modification of Graphene Films by Laser-Generated High Energy Particles Modification of Graphene Films by Laser-Generated High Energy Particles Elena Stolyarova (Polyakova), Ph.D. ATF Program Advisory and ATF Users Meeting April 2-3, 2009, Berkner Hall, Room B, BNL Department

More information

Luminescence study of structural changes induced by laser cutting in diamond films

Luminescence study of structural changes induced by laser cutting in diamond films Luminescence study of structural changes induced by laser cutting in diamond films A. Cremades and J. Piqueras Departamento de Fisica de Materiales, Facultad de Fisicas, Universidad Complutense, 28040

More information

SORTING PLASTICS FOR RECYCLING INTRODUCTION

SORTING PLASTICS FOR RECYCLING INTRODUCTION SORTING PLASTICS FOR RECYCLING INTRODUCTION Description Students use the difference in densities of polymers and flame tests as a basis for the development of a scheme to separate plastics. Goals for This

More information

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication

ELEC 3908, Physical Electronics, Lecture 15. BJT Structure and Fabrication ELEC 3908, Physical Electronics, Lecture 15 Lecture Outline Now move on to bipolar junction transistor (BJT) Strategy for next few lectures similar to diode: structure and processing, basic operation,

More information

New materials on horizon for advanced logic technology in mobile era

New materials on horizon for advanced logic technology in mobile era New materials on horizon for advanced logic technology in mobile era source gate Kelin J. Kuhn, TED 2012 drain Franz Kreupl, IFX 2003 Hsinchu March 6, 2013 - Prof. Dr. Franz Kreupl 1 Outline Introduction

More information

Viscoelasticity of Polymer Fluids.

Viscoelasticity of Polymer Fluids. Viscoelasticity of Polymer Fluids. Main Properties of Polymer Fluids. Entangled polymer fluids are polymer melts and concentrated or semidilute (above the concentration c) solutions. In these systems polymer

More information

Patterning with block copolymer thin films

Patterning with block copolymer thin films Materials Science and Engineering R 48 (2005) 191 226 Patterning with block copolymer thin films Rachel A. Segalman* Department of Chemical Engineering, University of California at Berkeley, Division of

More information

Elements of Addition Polymerization. Branching and Tacticity. The Effect of Crystallinity on Properties

Elements of Addition Polymerization. Branching and Tacticity. The Effect of Crystallinity on Properties Topics to be Covered Elements of Addition Polymerization Branching and Tacticity The Effect of Crystallinity on Properties Chapters 1 & 2 in CD (Polymer Science and Engineering) What Are Polyolefins? The

More information

The chemical interactions of the template molecule are primarily dependent on the choice of polymer

The chemical interactions of the template molecule are primarily dependent on the choice of polymer Study of the Surface Morphology of Methyl 4-nitrobenzoate Template Thin-film Molecularly Imprinted Polymers Gary Kaganas Dartmouth College and Center for Nanomaterials Research at Dartmouth, Hanover NH

More information

Polymers: Introduction

Polymers: Introduction Chapter Outline: Polymer Structures Hydrocarbon and Polymer Molecules Chemistry of Polymer Molecules Molecular Weight and Shape Molecular Structure and Configurations Copolymers Polymer Crystals Optional

More information

The content is based on the National Science Teachers Association (NSTA) standards and is aligned with state standards.

The content is based on the National Science Teachers Association (NSTA) standards and is aligned with state standards. Literacy Advantage Physical Science Physical Science Literacy Advantage offers a tightly focused curriculum designed to address fundamental concepts such as the nature and structure of matter, the characteristics

More information

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration

Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration Comprehensive Investigation of Sequential Plasma Activated Si/Si Bonded Interface for Nano-integration M G Kibria, F Zhang, T H Lee, M J Kim and M M R Howlader Dept. Electrical and Computer Engineering,

More information

VLSI Fabrication Process

VLSI Fabrication Process VLSI Fabrication Process Om prakash 5 th sem ASCT, Bhopal omprakashsony@gmail.com Manisha Kumari 5 th sem ASCT, Bhopal Manisha2686@gmail.com Abstract VLSI stands for "Very Large Scale Integration". This

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology

Nanofabrication using anodic alumina templates. IFIMUP and IN Institute of Nanoscience and Nanotechnology Nanofabrication using anodic alumina templates João Pedro Araújo IFIMUP and IN Institute of Nanoscience and Nanotechnology Outline Template based nanofabrication Nanoporous alumina templates Template filling

More information

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing

Click to edit Master title style. The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing 1 The Prospects for Cost-Competitive Photovoltaics: From Nanoscale Science to Macroscale Manufacturing Jeffrey S. Nelson, Manager Nanostructure Physics Department Center for Integrated Nanotechnologies

More information

Module 7 Wet and Dry Etching. Class Notes

Module 7 Wet and Dry Etching. Class Notes Module 7 Wet and Dry Etching Class Notes 1. Introduction Etching techniques are commonly used in the fabrication processes of semiconductor devices to remove selected layers for the purposes of pattern

More information

Basic Properties and Application of Auto Enamels

Basic Properties and Application of Auto Enamels Basic Properties and Application of Auto Enamels Composition of Ceramic Automotive Glass Enamels Ceramic automotive glass colours are glass enamels that fire on to the glass during the bending process

More information

Electromouillage réversible sur nanofils de silicium superhydrophobes

Electromouillage réversible sur nanofils de silicium superhydrophobes Electromouillage réversible sur nanofils de silicium superhydrophobes EWOD: Electro Wetting On Dielectrics Rabah Boukherroub 1,2 N. Verplanck, 2 G.Piret 1,2 Y. Coffinier, 1,2 E. Galopin, 2 V. Thomy, 2

More information

Secondary Ion Mass Spectrometry

Secondary Ion Mass Spectrometry Secondary Ion Mass Spectrometry A PRACTICAL HANDBOOK FOR DEPTH PROFILING AND BULK IMPURITY ANALYSIS R. G. Wilson Hughes Research Laboratories Malibu, California F. A. Stevie AT&T Bell Laboratories Allentown,

More information

Aplicaciones de la nanolitografía de oxidación por AFM

Aplicaciones de la nanolitografía de oxidación por AFM ForceTool Aplicaciones de la nanolitografía de oxidación por AFM (AFM oxidation nanolithography or Local oxidation nanolithography) Templates for the growth of molecular arquitectures Transistors Sensors

More information

Semiconductor doping. Si solar Cell

Semiconductor doping. Si solar Cell Semiconductor doping Si solar Cell Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Effect of Sterilization Techniques on Polymers

Effect of Sterilization Techniques on Polymers Effect of Sterilization Techniques on Polymers Contents of Presentation Introduction to Polymers Properties and Stability of Polymers Affect of Ionising Radiation and Ethylene Oxide on Polymers The need

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Lecture 30: Cleanroom design and contamination control

Lecture 30: Cleanroom design and contamination control Lecture 30: Cleanroom design and contamination control Contents 1 Introduction 1 2 Contaminant types 2 2.1 Particles.............................. 2 2.2 Metal ions............................. 4 2.3 Chemicals.............................

More information

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III

1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Photon Beam Damage and Charging at Solid Surfaces John H. Thomas III 1. Introduction............................. 2. Electrostatic Charging of Samples in Photoemission Experiments............................

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Fluids Confined in Carbon Nanotubes

Fluids Confined in Carbon Nanotubes Fluids Confined in Carbon Nanotubes Constantine M. Megaridis Micro/Nanoscale Fluid Transport Laboratory Mechanical and Industrial Engineering University of Illinois at Chicago 1 Background and Societal

More information

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS

BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS Trends Biomater. Artif. Organs. Vol. 17(2) pp 43-47 (2004) http//www.sbaoi.org BIOACTIVE COATINGS ON 316L STAINLESS STEEL IMPLANTS N. Ramesh Babu*,+, Sushant Manwatkar*, K. Prasada Rao* and T. S. Sampath

More information

Hands-On Labs SM-1 Lab Manual

Hands-On Labs SM-1 Lab Manual EXPERIMENT 4: Separation of a Mixture of Solids Read the entire experiment and organize time, materials, and work space before beginning. Remember to review the safety sections and wear goggles when appropriate.

More information

SELF-ASSEMBLED POLYSTYRENE-BLOCK-POLY (ETHYLENE OXIDE) (PS-b- PEO) MICELLE MORPHOLOGIES IN SOLUTION. A Dissertation. Presented to

SELF-ASSEMBLED POLYSTYRENE-BLOCK-POLY (ETHYLENE OXIDE) (PS-b- PEO) MICELLE MORPHOLOGIES IN SOLUTION. A Dissertation. Presented to SELF-ASSEMBLED POLYSTYRENE-BLOCK-POLY (ETHYLENE OXIDE) (PS-b- PEO) MICELLE MORPHOLOGIES IN SOLUTION A Dissertation Presented to The Graduate Faculty of The University of Akron In Partial Fulfillment of

More information

Micro-Nano Materials Characterization and Inspection

Micro-Nano Materials Characterization and Inspection Basic 10 Micro-Nano Materials Characterization and Inspection - Evaluation of Electrical l Properties- Prof. Yang Ju Dept. of Mechanical Science and Engineering Nagoya University, Japan Outline 1. The

More information

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS

OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS OPTIMIZING OF THERMAL EVAPORATION PROCESS COMPARED TO MAGNETRON SPUTTERING FOR FABRICATION OF TITANIA QUANTUM DOTS Vojtěch SVATOŠ 1, Jana DRBOHLAVOVÁ 1, Marian MÁRIK 1, Jan PEKÁREK 1, Jana CHOMOCKÁ 1,

More information

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices

Nanoscale Resolution Options for Optical Localization Techniques. C. Boit TU Berlin Chair of Semiconductor Devices berlin Nanoscale Resolution Options for Optical Localization Techniques C. Boit TU Berlin Chair of Semiconductor Devices EUFANET Workshop on Optical Localization Techniques Toulouse, Jan 26, 2009 Jan 26,

More information

Study on Characteristics of Polymer Surfactant and Application of Polymer Surfactant Flooding Technology in Oilfield

Study on Characteristics of Polymer Surfactant and Application of Polymer Surfactant Flooding Technology in Oilfield International Journal of Chemistry and Applications. ISSN 0974-3111 Volume 6, Number 1 (2014), pp. 1-6 International Research Publication House http://www.irphouse.com Study on Characteristics of Polymer

More information

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices

Rapid Prototyping and Development of Microfluidic and BioMEMS Devices Rapid Prototyping and Development of Microfluidic and BioMEMS Devices J. Sasserath and D. Fries Intelligent Micro Patterning System Solutions, LLC St. Petersburg, Florida (T) 727-522-0334 (F) 727-522-3896

More information

Permeability Study on the Coating Film Consisting of CA-398-10 NF/EP and CA- 320S NF/EP

Permeability Study on the Coating Film Consisting of CA-398-10 NF/EP and CA- 320S NF/EP Permeability Study on the Coating Film Consisting of CA-398-10 NF/EP and CA- 320S NF/EP Please note: This article is the original unedited version of the article that was featured in Drug Development &

More information

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia

Le nanotecnologie: dal Laboratorio al Mercato. Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Le nanotecnologie: dal Laboratorio al Mercato Fabrizio Pirri Politecnico di Torino Istituto Italiano di Tecnologia Materials & Processes for micro nanotechnologies Laboratory http://www.polito.it/micronanotech

More information

Keystone Review Practice Test Module A Cells and Cell Processes. 1. Which characteristic is shared by all prokaryotes and eukaryotes?

Keystone Review Practice Test Module A Cells and Cell Processes. 1. Which characteristic is shared by all prokaryotes and eukaryotes? Keystone Review Practice Test Module A Cells and Cell Processes 1. Which characteristic is shared by all prokaryotes and eukaryotes? a. Ability to store hereditary information b. Use of organelles to control

More information

EXPERIMENTAL STUDY OF STRUCTURAL ZONE MODEL FOR COMPOSITE THIN FILMS IN MAGNETIC RECORDING MEDIA APPLICATION

EXPERIMENTAL STUDY OF STRUCTURAL ZONE MODEL FOR COMPOSITE THIN FILMS IN MAGNETIC RECORDING MEDIA APPLICATION EXPERIMENTAL STUDY OF STRUCTURAL ZONE MODEL FOR COMPOSITE THIN FILMS IN MAGNETIC RECORDING MEDIA APPLICATION Hua Yuan and David E. Laughlin Department of Materials Science and Engineering, Carnegie Mellon

More information

Defects Introduction. Bonding + Structure + Defects. Properties

Defects Introduction. Bonding + Structure + Defects. Properties Defects Introduction Bonding + Structure + Defects Properties The processing determines the defects Composition Bonding type Structure of Crystalline Processing factors Defects Microstructure Types of

More information

Rosaria Rinaldi. Dipartimento di Matematica e Fisica «E. De Giorgi» Università del Salento. Scuola Superiore ISUFI Università del Salento

Rosaria Rinaldi. Dipartimento di Matematica e Fisica «E. De Giorgi» Università del Salento. Scuola Superiore ISUFI Università del Salento Rosaria Rinaldi Dipartimento di Matematica e Fisica «E. De Giorgi» Università del Salento Scuola Superiore ISUFI Università del Salento National Nanotechnology Laboratory Istituto di NanoScienze CNR Università

More information

GCSE Chemistry Quiz Chemical Reactions

GCSE Chemistry Quiz Chemical Reactions GCSE Chemistry Quiz Chemical Reactions A chemical reaction is a process where the molecular structure changes. Chemical reactions are all around us. We can see many reactions such as fireworks and explosions.

More information

Computational Nanoscience of Soft Matter

Computational Nanoscience of Soft Matter ChE/MSE 557 Computational Nanoscience of Soft Matter Fall 2006 Instructor: Professor Sharon C. Glotzer Class meets: Tues 3:00-6:00 Location: Room 3336 BD, Duderstadt Center Room 3336 AC, Duderstadt Center

More information

Effect of Humidity on the Ordering of PEO-Based Copolymer Thin Films

Effect of Humidity on the Ordering of PEO-Based Copolymer Thin Films Macromolecules 2007, 40, 7019-7025 7019 Effect of Humidity on the Ordering of PEO-Based Copolymer Thin Films Joona Bang, Bumjoon J. Kim,, Gila E. Stein,, Thomas P. Russell, # Xuefa Li, O Jin Wang, O Edward

More information

Organic semiconductors

Organic semiconductors Plastic (Organic) Solar Cells: Accomplishments, Challenges, and Strategies Sumit Chaudhary Assistant Professor Department of Electrical and Computer Engineering Materials Science and Engineering Iowa State

More information

Scotch-Weld TM. Acrylic Adhesives. DP8405NS Green. Product Data Sheet. Date: March 2014 Supersedes: August 2013

Scotch-Weld TM. Acrylic Adhesives. DP8405NS Green. Product Data Sheet. Date: March 2014 Supersedes: August 2013 Scotch-Weld TM Product Data Sheet Acrylic Adhesives Date: Supersedes: August 2013 Product Description 3M TM Scotch-Weld Acrylic Adhesives are high performance, twopart acrylic adhesives that offer good

More information

SETSCO SERVICES PTE LTD TEST REPORT MICROSCOPIC ANALYSIS ON THE CONCRETE CORES FROM RETAINING WALL AT CHANGI AIRPORT TERMINAL 3 REVERTON ENGINEERING(S) PTE LTD 1. INTRODUCTION 2. MICROSCOPIC ANALYSIS 3.

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information