University of Maiduguri Faculty of Engineering Seminar Series Volume 6, december 2015

Size: px
Start display at page:

Download "University of Maiduguri Faculty of Engineering Seminar Series Volume 6, december 2015"

Transcription

1 University of Maiduguri Faculty of Engineering Seminar Series Volume 6, december 25 RAPID PROTOTYPING OF DIGITAL SYSTEMS: THE ROLE OF FIELD PROGRAMMABLE GATE ARRAYS C. U. Ngene and P. Y. Dibal Department of Computer Engineering, University of Maiduguri Abstract Today electronic vendors are jostling to satisfy the ever increasing and complicated consumer requirements. In order to meet these demands in a timely and efficient manner it becomes imperative to use a robust prototyping platform that would reduce non-recurring engineering cost (NRE), improve reliability and reduce time-to-market pressures. This paper examines the shortcomings of handcrafting designs and the use of small-, medium- and large-scale integrated components and the benefits of using field programmable gate arrays (FPGA) as a single prototyping platform. FPGAs are viable implementation alternative for larger and complex designs ensuring small final device area, high speed and low power consumption. The FPGA design flow using appropriate electronic design automation (EDA) tool and hardware description language such as VHDL have been considered. It has also been shown that design reuse and availability of intellectual property (IP) cores provided by various FPGA vendors facilitate rapid prototyping of digital systems. Keywords: Digital Design, EDA, FPGA, Rapid Prototyping, VHDL. Introduction The appearance of any electronic product in the market is preceded by rigorous specification formulation, design, verification, and testing. Specification formulation, design and verification can be done using EDA tools, but it is only a physical device that can be tested. This implies that a physical model of the would-be device must be created and properly tested to be sure that it conforms to the initial specification. Improperly tested devices are prone to failure and subsequently more devices are recalled. This of course is not a good business strategy considering the high nonrecurring engineering costs of the overall design. In the early days these prototypes are handcrafted, which takes a long time to be completed even for small scale integrated circuits (SSI) and medium scale integrated circuits (MSI) which populated the board. Another important issue with handcrafted prototypes is long time to market (TTM). With complicated designs like application specific integrated circuits (ASIC) and system on chip (SoC) the TTM will be prohibitively long leading to late delivery of products to the market with the associated high NRE. Recently, the world of electronic devices is becoming more and more complex as consumer requirements are increasingly becoming more sophisticated by the day. In today's technologically driven society TTM period is shrinking. New products are introduced rapidly, and failing to have a product ready at a given market window, can cost a company a considerable amount of revenue. Considering the importance of TTM and the need to improve yield, FPGAs are currently used for prototyping. The problems encountered by early designers are clearly depicted in Figure, where prototypes were built using wire wrap technology. Three of them were built by IBM engineers and only two worked. This prototype was delivered to Microsoft for program development(david, 2). Initially, it was decided that custom ICs like ASIC would be a better replacement of the large amount of interconnects. To a greater extent custom ICs reduced system complexity and manufacturing cost, and improved performance. However, they are relatively very expensive to develop and long TTM because of increased design time. Because of its insensitivity to TTM high cost custom IC was only viable for products with very high volume. The introduction Seminar Series Volume 6, 25 Page 23

2 of FPGAs by Xilinx in 985 as an alternative to custom IC gave the users the opportunity to implement an entire system on single chip. Furthermore, with the help of EDA tools circuits could be implemented in short amount of time. This is because there is no need for physical layout process no IC manufacturing. FPGAs provide a single prototyping platform, which facilitates verification for hardware, firmware, and application software design functionality before the first silicon pass. The use of FPGAs for prototyping has gained wide spread acceptance because of its low startup costs, low financial risk, quick manufacturing turnaround and easy design changes. a b Figure IBM PC Motherboard Prototype (a) front (b) back Source IEEE Computer August 2 2. Rapid Prototyping In view of the need to quickly respond to customer demands it becomes mandatory that a product should not only adapt to software changes but should also have the capability to adapt to hardware changes. This situation becomes more demanding for embedded systems where it is important to close the gap between specification and implementation and to support co-design and validation of large embedded systems (Frank et al, 2). The motivation for using a prototype varies according to the application and company s interest. In (Kordon, 23), two levels of prototyping were examined: one that reduces the TTM and cost of a system and the other increases security and reliability of a system. These are elaborated in Table. Table : Motivation for Rapid Prototyping Interest Description Systems Benefits Level Level 2 Reduction of cost and time-tomarket of a system Increases security and reliability of a system Complex Systems embedded, distributed, real-time, etc Safety Critical Systems Cost of skilled engineers increases rapidly Automated development could reduce the need for skilled engineers, standard engineers can work the prototyping tools Prototyping allows formal verification to be operated when required. This method allows high levels of reliability in system design and implementation 3. Overview of FPGAs Following some of the deficiencies inherent in programmable logic devices (PLD) and complex programmable gate arrays (CPLD) in terms of gate densities and TTM, Xilinx in 985 introduced the all time programmable gate arrays with gate densities that can accommodate more complex Seminar Series, Volume 6, 25 Page 24

3 designs. At the highest level, FPGAs are reprogrammable silicon chips. Using prebuilt logic blocks and programmable routing resources, you can configure these chips to implement custom hardware functionality without ever having to pick up a breadboard or soldering iron (NI, 22). There are two major types of FPGAs: one-time programmable and SRAM-based. As the name implies one-time programmable is rigid and cannot be reprogrammed after it has been programmed. On the other hand SRAM-based FPGAs can be reprogrammed as many times as the engineer chooses. SRAM determines interconnection and defines logic look-up table (LUT). SRAM-based FPGAs is the dominant type that is widely used in the industry today. A simple generic FPGA architecture is depicted in Figure 2, where programmable logic blocks (PLBs) are arranged in a two dimensional grid and are interconnected by programmable routing resources. Xilinx calls its PLB configurable logic block (CLB) whereas Altera refers to it as logic array block (LAB). I/O blocks though not shown in Figure 2 are arranged at the periphery of the grid and they are also connected to the programmable routing interconnect. Today s FPGA architectures are LUT-based. FPGAs can be based on 3-, 4-, 5- and even 6-input LUTs. A typical logic block consists of LUT, multiplexer and flip-flop as shown in Figure 3. Figure 2: Simple Generic FPGA Architecture Figure 3: Key Elements of Logic Blocks LUT are usually built as small RAMs that are loaded with a truth table. For example, an eight-bit RAM can perform a three-input and operation if it is loaded as follows (Figure 4): Truth Table Programmed LUT Required Function A2 A A O/P SRAM Cells 8: Multiplexer O/P A2 A A O/P Figure 4: Logic Function Realisation A2 A A Surveys indicate that FPGAs are now a part of 5 to 7 percent of all embedded systems (Glenn, 2). All FPGA vendors provide comprehensive solutions consisting of boards and kits, Intellectual property (IP), software, and design tools. Apart from the distributed RAMs formed by the LUT, FPGAs contain other embedded devices that can be leveraged by other applications. Some of these embedded devices are shown in Figure 5. Recently, processors which hitherto were a discrete component on a board have migrated to stay on the same chip as the FPGA fabric. Sophisticated FPGAs contain one or more embedded processors, which are known as Seminar Series, Volume 6, 25 Page 25

4 microprocessor cores (hard and soft cores). A hard microprocessor core is located on a strip as shown in Figure 5. Other FPGA vendors are Altera, Lattice Semiconductor, Microseni (Actel), Quicklogic, Atmel, Achronix and Cypress. In Figure 6 is shown that Xilinx and Altera are the two top FPGA companies that have taken 89% of the market (Jeff, 2). The rest of the companies are gradually winning market share by targeting specific applications and sub-markets. Xilinx who is the leader for many years has good range of FPGAs in terms of cost and performance. In recent years, the popular Spartan series has covered the low-to-mid-end market while the Virtex series has covered the high-end. Recently, Xilinx released the 7 family of FPGAs which are built on 28-nm process and for the first time introduced the Artex-7 and Kintex-7 series which provide better coverage of the lower and mid-end applications previously covered by the Spartan series. Main FPGA Fabric Stripe up RAM I/O etc. 4% 49% 6% 4% % xilinx Altera Lattice Semiconductor Microsemi (Actel) Quicklogic Logic Block MAC RAM Block Figure 5: A chip containing FPGA Fabric Figure 6: FPGA Market Share by 2 and other Embedded Devices revenue Millions. (Source Jeff, 2) 4. FPGA Design Flow The implementation of FPGA targeted designs requires a robust EDA tools. Some of these tools provide support for multiple HDLs (VHDL and Verilog). Apart from FPGA HDL design flow, these tools also provide support for FPGA schematic-based design procedure. FPGA schematicbased design is rather time-consuming especially for large designs with over, gates, as it requires that each components, gates, interconnections and input/outputs must be inserted physically by the engineer. Another inherent problem with using schematic capture is the difficulty in migrating between vendors and technologies as vendor s component library differs. Xilinx calls its free edition ISE Design Suite Webpack edition whereas Altera has Quartus II web edition. The FPGA design flow is divided into three major stages of design specification, Functional (behavioural) Verification and Implementation as depicted in Figure Specification The design specification starts with a means of describing the design leading finally to the generation of a netlist (text equivalent of the circuit: gates used and their interconnection). The netlist is a compact way for other programs to understand what gates are in the circuit and how they are connected (Xilinx, 26). A design targeting an FPGA is specified using either the schematic Seminar Series, Volume 6, 25 Page 26

5 Specification Schematic Design Entry HDL (RTL) VHDL Verilog Translate Map Implementation Synthesis Design Specification Pre-Synthesis Simulation Place and Route Netlist Functional Verification TIMING VERIFICATION Timing Analysis (Back Annotation) Simulation Simulation Test Vectors Download and Program FPGA Figure 7: Typical FPGA Design Flow capture tool or HDL. Schematic tools provide graphic interface for design entry. However, some designs employ a combination of schematic capture (state transition diagrams for state machine design) and HDL descriptions (Alain, 29). HDLdescription offers a number of advantages which includes: Allows design functionality to be verified early in the design process; It is more easily read and understood by schematic or netlist description. It is important to know that the initial HDL design description is technology independent. For example the VHDL code in Figure 8a is portable across various vendor EDAs but the synthesised circuit in Figure 8b is technology dependent. Electronic Design Automation vendors provide integrated software environment that controls all aspects of the development flow. For instance the Xilinx design suite s project navigator is a graphical interface for users to access software tools and relevant files associated with a project. library IEEE; use IEEE.STD_LOGIC_64.ALL; entity three_to_one_mux is Port ( a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC; sel : in STD_LOGIC_VECTOR ( downto ); F : out STD_LOGIC); end three_to_one_mux; architecture Behavioral of three_to_one_mux is begin with sel select F <= a when "", b when "", c when "", 'X' when others; end Behavioral; (a) (b) Figure 8: Design of 3-to- Multiplexer : a) Multiplexer VHDL Code, b) Synthesised Multiplexer Circuit Seminar Series, Volume 6, 25 Page 27

6 This suite consists of a number of tools that enables one to capture the design, simulate, synthesise and implement the design. Tools provided by EDA companies (like Mentor Graphics, Synopsys, Cadence, Aldec etc) are sophisticated, expensive and supports multi-language and FPGAs from multiple vendors. Design tools provided by FPGA vendors are shown in Table 4. Although each software design tool differs in appearance and the manner in which the designer interacts with it, all have a common set of basic features required to create and implement designs within a particular tool. These features include: Project Management; Design entry; Design simulation; Design synthesis; Place and route; Post-layout Delay extraction; Post-layout simulation; Configuration file generation; FPGA configuration and Interface to third-party tools for simulation or synthesis. Table 4 FPGA Design Tools by Vendor FPGA Vendors and Tools Vendors Tools Characteristics Xilinx ISE TM Design Suite Vivado FPGA Design Entry, Simulation, Synthesis and Device Programming 7-series FPGA and upward. SoC Altera Quartus II FPGA design entry 4.2 Verification After the design has been captured using schematic or HDL or a combination of both, it is simulated in order to validate the design. Simulation is done using appropriate testbenches that are applied to the HDL design presynthesis simulation. If the behaviour of the design is in conformity with the specification the design is further synthesised using appropriate user constraint features (e.g. area, power requirement) to produce the design netlist. After the design has been synthesised it is further simulated postsynthesis simulation. The same testbench used for presynthesis simulation is also used for postsynthesis simulation and the simulation results on both designs are compared to ensure that the two design operations are equivalent. Postsynthesis simulation of design before implementation, allows you to evaluate architectural and design decisions. 4.3 Implementation Choosing the right FPGA device is critical to a successful design that meets the area and timing constraints. Once the netlist has been generated by the synthesis tool the stage is now clear for the design to be put on a chip design implementation. Generally the netlist describes the design using the gates for a specific vendor/device family. Design implementation involves the following steps: translate mapping, place and route, timing verification, and download or programming. The translate stage involves the preparation of the netlist for layout of the FPGA. This stage interprets the design and runs a design rule check. (e.g,. does the design exceed the number of input/output ports or the number of clock buffers available in this device?). The Map stage calculates and allocates resources in the targeted device. Place and route places the CLBs in a logical position and utilises the routing resources. Timing analysis stage provides timing information about paths in the design. This information is very accurate and can be viewed in many different ways, such as displaying all paths in the design Seminar Series, Volume 6, 25 Page 28

7 and ranking them from longest to shortest delay. After obtaining timing information we go back to the simulator to re-simulate the design. This is known as back annotation. Back annotation has the advantage of providing the accurate timing as well as the zeros and ones operation of your design. Generally timing is all about the delays associated with the speed of operation of the logic gates in the logic blocks. The longest path (highest delay) actually determines the speed of the overall system. 5. Infrastructure for Rapid prototyping FPGA devices in conjunction with the various tools for implementing designs provide facilities that enhance rapid prototyping. Such facilities include prototyping boards for different types or categories of FPGAs, the use of Intellectual properties and design reuse. 5. FPGA Prototyping Boards Xilinx and other FPGA vendors parade array of prototyping boards through their partners. Digilent is one of the partners of Xilinx that provides boards for Spartan 3E, virtex FPGAs etc as shown in Figure. These boards contain LCD display, seven segment LED, switches and buttons that enable the designer or student to visualise the workings of his design. Figure Xilinx FPGAs on Digilent Boards 5.2 Intellectual Property (IP) IP are ready to use standard designs that are in most cases sold or may be provided for free depending on the vendor. These IP cores have been properly and rigorously verified and tested, thus allowing them to be used directly in a design without slightest worry about their reliability. Xilinx for example has standard CORE Generator System, which is a design tool that delivers parameterized cores optimized for Xilinx FPGAs. This provides you with a catalogue of readymade functions ranging in complexity from simple arithmetic operators such as adders, accumulators, and multipliers, to system-level building blocks such as filters, transforms, FIFOs, and memories. These Cores can be re-customised and regenerated by the designer. Designers leverage on the availability of these cores to deliver new designs to the market in an efficient and timely manner. 5.3 Design Reuse Effective design reuse is a critical objective for every electronic design company as 75% of future productivity gains will come through reuse (Mentor, 26). Ever since hardware description languages (HDLs) were first put into use to specify electronic designs, designers have recycled code with permission of course. In view of the fact that designs targeting FPGAs are first captured in HDL- VHDL for example, it is possible to reuse previous designs for the current ongoing designs. For example if an 8-bit processor specifications were previously captured in Seminar Series, Volume 6, 25 Page 29

8 VHDL, subsequent designs requiring the use of the same type of processor will only instantiate it in the top level design rather than designing it afresh.. 6. Conclusion Generally, design prototyping creates a physical prototype or the overall system that allows the operation of the real design to be evaluated. HDL and FPGAs allow designers to quickly develop and simulate a sophisticated digital circuit, realize it on prototyping device, and verify operation of the physical implementation. It has been shown that FPGA-based design flow as a top-down design methodology speeds up design in an integrated manner without the need for soldering of any sort. This ensures rapid delivery of prototypes with the flexibility of reprogramming anytime anywhere. Successful design requires a robust EDA tool with all necessary software tools that supports design capture in HDL, verification, synthesis and implementation tools. This paper has demonstrated that the necessary ingredients for aiding rapid prototyping using FPGA constitute the design reuse which is facilitated by the use of HDL and IP-cores provided by vendors as an integral part of the EDA tool. References Alain Vachoux (29), Top-Down Digital Design Flow, Version 4., Online: accessed May 5, 25 David Bradly (2), A Personal History of the IBM PC, IEEE Computer, August 2, pg 2. Frank S., Mathias D., Ralf M. and Richard H. (2), Hardware/Software Codesign and Rapid Prototyping of Embedded Systems, IEEE Design and Test of Computers NI (22), Introduction to FPGA Technology: 5 Top Benefits, Online: accessed July 9, 24 Glenn Steiner and Dan Isaacs (2), Demystifying FPGAs for Software Engineers, Xcell Magazine issue 75, pg 44 Kordon, F., Henkel, J., (23), An overview of Rapid System Prototyping Today- Design Automation for Embedded System, vol. 8, issue 4, pg Jeff Johnson (2), List and comparison of FPGA companies by, Online: accessed 24 October 24 Mentor (26), Design Reuse, Online: Accessed May 6, 25 Xilinx (26), Spartan-3E FPGA Starter Kit Board User Guide, Online: accessed October 4, 24. Seminar Series, Volume 6, 25 Page 3

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges

Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Programmable Logic IP Cores in SoC Design: Opportunities and Challenges Steven J.E. Wilton and Resve Saleh Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

Design and Verification of Nine port Network Router

Design and Verification of Nine port Network Router Design and Verification of Nine port Network Router G. Sri Lakshmi 1, A Ganga Mani 2 1 Assistant Professor, Department of Electronics and Communication Engineering, Pragathi Engineering College, Andhra

More information

Pre-tested System-on-Chip Design. Accelerates PLD Development

Pre-tested System-on-Chip Design. Accelerates PLD Development Pre-tested System-on-Chip Design Accelerates PLD Development March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Pre-tested

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

Chapter 2 Logic Gates and Introduction to Computer Architecture

Chapter 2 Logic Gates and Introduction to Computer Architecture Chapter 2 Logic Gates and Introduction to Computer Architecture 2.1 Introduction The basic components of an Integrated Circuit (IC) is logic gates which made of transistors, in digital system there are

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

Testing of Digital System-on- Chip (SoC)

Testing of Digital System-on- Chip (SoC) Testing of Digital System-on- Chip (SoC) 1 Outline of the Talk Introduction to system-on-chip (SoC) design Approaches to SoC design SoC test requirements and challenges Core test wrapper P1500 core test

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

The 104 Duke_ACC Machine

The 104 Duke_ACC Machine The 104 Duke_ACC Machine The goal of the next two lessons is to design and simulate a simple accumulator-based processor. The specifications for this processor and some of the QuartusII design components

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

Systems on Chip Design

Systems on Chip Design Systems on Chip Design College: Engineering Department: Electrical First: Course Definition, a Summary: 1 Course Code: EE 19 Units: 3 credit hrs 3 Level: 3 rd 4 Prerequisite: Basic knowledge of microprocessor/microcontroller

More information

Digital Systems. Role of the Digital Engineer

Digital Systems. Role of the Digital Engineer Digital Systems Role of the Digital Engineer Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1

Introduction. Jim Duckworth ECE Department, WPI. VHDL Short Course - Module 1 VHDL Short Course Module 1 Introduction Jim Duckworth ECE Department, WPI Jim Duckworth, WPI 1 Topics Background to VHDL Introduction to language Programmable Logic Devices CPLDs and FPGAs FPGA architecture

More information

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT

ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT 216 ON SUITABILITY OF FPGA BASED EVOLVABLE HARDWARE SYSTEMS TO INTEGRATE RECONFIGURABLE CIRCUITS WITH HOST PROCESSING UNIT *P.Nirmalkumar, **J.Raja Paul Perinbam, @S.Ravi and #B.Rajan *Research Scholar,

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Lab 1: Full Adder 0.0

Lab 1: Full Adder 0.0 Lab 1: Full Adder 0.0 Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for the circuit. Finally, you will verify

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Design and Implementation of Vending Machine using Verilog HDL

Design and Implementation of Vending Machine using Verilog HDL 2011 2nd International Conference on Networking and Information Technology IPCSIT vol.17 (2011) (2011) IACSIT Press, Singapore Design and Implementation of Vending Machine using Verilog HDL Muhammad Ali

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia

C8051F020 Utilization in an Embedded Digital Design Project Course. Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia C8051F020 Utilization in an Embedded Digital Design Project Course Daren R. Wilcox Southern Polytechnic State University Marietta, Georgia Abstract In this paper, the utilization of the C8051F020 in an

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design January 29 th 2015. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

REC FPGA Seminar IAP 1998. Seminar Format

REC FPGA Seminar IAP 1998. Seminar Format REC FPGA Seminar IAP 1998 Session 1: Architecture, Economics, and Applications of the FPGA Robotics and Electronics Cooperative FPGA Seminar IAP 1998 1 Seminar Format Four 45 minute open sessions two on

More information

Improve PCB Layout with Skill Utility Programs

Improve PCB Layout with Skill Utility Programs Improve PC Layout with Skill Utility Programs rbel Nissan, COO, Nistec Design Published at PCD&F Magazine, May 2008 Faster time to market is crucial in the development and manufacturing of every new product.

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support

JTAG Applications. Product Life-Cycle Support. Software Debug. Integration & Test. Figure 1. Product Life Cycle Support JTAG Applications While it is obvious that JTAG based testing can be used in the production phase of a product, new developments and applications of the IEEE-1149.1 standard have enabled the use of JTAG

More information

Space product assurance

Space product assurance ECSS-Q-ST-60-02C Space product assurance ASIC and FPGA development ECSS Secretariat ESA-ESTEC Requirements & Standards Division Noordwijk, The Netherlands Foreword This Standard is one of the series of

More information

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core

10/100/1000 Ethernet MAC with Protocol Acceleration MAC-NET Core 1 Introduction The implements, in conjunction with a triple speed 10/100/1000 MAC, Layer 3 network acceleration functions, which are designed to accelerate the processing of various common networking protocols

More information

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit

Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit 1 Implementation of Web-Server Using Altera DE2-70 FPGA Development Kit A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENT OF FOR THE DEGREE IN Bachelor of Technology In Electronics and Communication

More information

Figure 1 FPGA Growth and Usage Trends

Figure 1 FPGA Growth and Usage Trends White Paper Avoiding PCB Design Mistakes in FPGA-Based Systems System design using FPGAs is significantly different from the regular ASIC and processor based system design. In this white paper, we will

More information

Quartus II Introduction for VHDL Users

Quartus II Introduction for VHDL Users Quartus II Introduction for VHDL Users This tutorial presents an introduction to the Quartus II software. It gives a general overview of a typical CAD flow for designing circuits that are implemented by

More information

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Session: 2220 Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Adam S. El-Mansouri, Herbert L. Hess, Kevin M. Buck, Timothy Ewers Microelectronics

More information

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview

EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview EEM870 Embedded System and Experiment Lecture 1: SoC Design Overview Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw Feb. 2013 Course Overview

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

Engineering Change Order (ECO) Support in Programmable Logic Design

Engineering Change Order (ECO) Support in Programmable Logic Design White Paper Engineering Change Order (ECO) Support in Programmable Logic Design A major benefit of programmable logic is that it accommodates changes to the system specification late in the design cycle.

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

System-on-Chip Design with Virtual Components

System-on-Chip Design with Virtual Components FEATURE ARTICLE Thomas Anderson System-on-Chip Design with Virtual Components Here in the Recycling Age, designing for reuse may sound like a great idea. But with increasing requirements and chip sizes,

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

PCB Project (*.PrjPcb)

PCB Project (*.PrjPcb) Project Essentials Summary The basis of every design captured in Altium Designer is the project. This application note outlines the different kinds of projects, techniques for working on projects and how

More information

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT by Nicholas Wieder A thesis submitted to the faculty of The University of North Carolina at Charlotte in partial fulfillment of

More information

World-wide University Program

World-wide University Program 1 World-wide University Program Preparing Today s Students for Tomorrow s Technology Joe Bungo Manager Americas/Europe R&D Division 2 CONFIDENTIAL ARM Ltd ARM founded in November 1990 Advanced RISC Machines

More information

Switch Fabric Implementation Using Shared Memory

Switch Fabric Implementation Using Shared Memory Order this document by /D Switch Fabric Implementation Using Shared Memory Prepared by: Lakshmi Mandyam and B. Kinney INTRODUCTION Whether it be for the World Wide Web or for an intra office network, today

More information

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface

10/100/1000Mbps Ethernet MAC with Protocol Acceleration MAC-NET Core with Avalon Interface 1 Introduction Ethernet is available in different speeds (10/100/1000 and 10000Mbps) and provides connectivity to meet a wide range of needs from desktop to switches. MorethanIP IP solutions provide a

More information

Quartus II Introduction Using VHDL Design

Quartus II Introduction Using VHDL Design Quartus II Introduction Using VHDL Design This tutorial presents an introduction to the Quartus R II CAD system. It gives a general overview of a typical CAD flow for designing circuits that are implemented

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

EEC 119B Spring 2014 Final Project: System-On-Chip Module

EEC 119B Spring 2014 Final Project: System-On-Chip Module EEC 119B Spring 2014 Final Project: System-On-Chip Module Dept. of Electrical and Computer Engineering University of California, Davis Issued: March 14, 2014 Subject to Revision Final Report Due: June

More information

Prototyping ARM Cortex -A Processors using FPGA platforms

Prototyping ARM Cortex -A Processors using FPGA platforms Prototyping ARM Cortex -A Processors using FPGA platforms Brian Sibilsky and Fredrik Brosser April 2016 Page 1 of 17 Contents Introduction... 3 Gating... 4 RAM Implementation... 7 esign Partitioning...

More information

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng

Architectural Level Power Consumption of Network on Chip. Presenter: YUAN Zheng Architectural Level Power Consumption of Network Presenter: YUAN Zheng Why Architectural Low Power Design? High-speed and large volume communication among different parts on a chip Problem: Power consumption

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Digital Design and Synthesis INTRODUCTION

Digital Design and Synthesis INTRODUCTION Digital Design and Synthesis INTRODUCTION The advances in digital design owe its progress to 3 factors. First the acceleration at which the CMOS technology has advanced in last few decades and the way

More information

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design

Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Curriculum for a Master s Degree in ECE with focus on Mixed Signal SOC Design Department of Electrical and Computer Engineering Overview The VLSI Design program is part of two tracks in the department:

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS

AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS AN FPGA FRAMEWORK SUPPORTING SOFTWARE PROGRAMMABLE RECONFIGURATION AND RAPID DEVELOPMENT OF SDR APPLICATIONS David Rupe (BittWare, Concord, NH, USA; drupe@bittware.com) ABSTRACT The role of FPGAs in Software

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

White Paper 40-nm FPGAs and the Defense Electronic Design Organization White Paper 40-nm FPGAs and the Defense Electronic Design Organization Introduction With Altera s introduction of 40-nm FPGAs, the design domains of military electronics that can be addressed with programmable

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

Design of Remote Laboratory dedicated to E2LP board for e-learning courses.

Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Proceedings of the E2LP Workshop Warsaw, 2014, pp. 25 29 DOI: 10.15439/2014F672 ACSIS, Vol. 4 Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Jan Piwiński Email: jpiwinski@piap.pl

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper

Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs. White Paper Developing Embedded Applications with ARM Cortex TM -M1 Processors in Actel IGLOO and Fusion FPGAs White Paper March 2009 Table of Contents Introduction......................................................................

More information

A New Paradigm for Synchronous State Machine Design in Verilog

A New Paradigm for Synchronous State Machine Design in Verilog A New Paradigm for Synchronous State Machine Design in Verilog Randy Nuss Copyright 1999 Idea Consulting Introduction Synchronous State Machines are one of the most common building blocks in modern digital

More information

Case Study: Improving FPGA Design Speed with Floorplanning

Case Study: Improving FPGA Design Speed with Floorplanning Case Study: Improving FPGA Design Speed with Floorplanning - An introduction to Xilinx PlanAhead 10.1 by Consultant Kent Salomonsen (kent.salomonsen@teknologisk.dk) Picture this: the RTL is simulating

More information

On the use of programmable logic in FabLabs

On the use of programmable logic in FabLabs 09.09.2013 Self introduction Electrical Engineer Self employed: Focus: Embedded Systems Motivation for being here: Providing and getting new ideas, make an offer, meeting interesting people Source: http://opensourceecology.org

More information

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.

FPGA Music Project. Matthew R. Guthaus. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc. Department of Computer Engineering, University of California Santa Cruz http://vlsida.soe.ucsc.edu Biographic Info 2006 PhD, University of Michigan in Electrical Engineering 2003-2005 Statistical Physical

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Digital Electronics Detailed Outline

Digital Electronics Detailed Outline Digital Electronics Detailed Outline Unit 1: Fundamentals of Analog and Digital Electronics (32 Total Days) Lesson 1.1: Foundations and the Board Game Counter (9 days) 1. Safety is an important concept

More information

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0

Introduction to the Altera Qsys System Integration Tool. 1 Introduction. For Quartus II 12.0 Introduction to the Altera Qsys System Integration Tool For Quartus II 12.0 1 Introduction This tutorial presents an introduction to Altera s Qsys system inegration tool, which is used to design digital

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

MICROPROCESSOR AND MICROCOMPUTER BASICS

MICROPROCESSOR AND MICROCOMPUTER BASICS Introduction MICROPROCESSOR AND MICROCOMPUTER BASICS At present there are many types and sizes of computers available. These computers are designed and constructed based on digital and Integrated Circuit

More information

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual

How To Design A Single Chip System Bus (Amba) For A Single Threaded Microprocessor (Mma) (I386) (Mmb) (Microprocessor) (Ai) (Bower) (Dmi) (Dual Architetture di bus per System-On On-Chip Massimo Bocchi Corso di Architettura dei Sistemi Integrati A.A. 2002/2003 System-on on-chip motivations 400 300 200 100 0 19971999 2001 2003 2005 2007 2009 Transistors

More information

Multiplexers Two Types + Verilog

Multiplexers Two Types + Verilog Multiplexers Two Types + Verilog ENEE 245: Digital Circuits and ystems Laboratory Lab 7 Objectives The objectives of this laboratory are the following: To become familiar with continuous ments and procedural

More information

EXPERIMENT 8. Flip-Flops and Sequential Circuits

EXPERIMENT 8. Flip-Flops and Sequential Circuits EXPERIMENT 8. Flip-Flops and Sequential Circuits I. Introduction I.a. Objectives The objective of this experiment is to become familiar with the basic operational principles of flip-flops and counters.

More information

VHDL GUIDELINES FOR SYNTHESIS

VHDL GUIDELINES FOR SYNTHESIS VHDL GUIDELINES FOR SYNTHESIS Claudio Talarico For internal use only 1/19 BASICS VHDL VHDL (Very high speed integrated circuit Hardware Description Language) is a hardware description language that allows

More information

USB - FPGA MODULE (PRELIMINARY)

USB - FPGA MODULE (PRELIMINARY) DLP-HS-FPGA LEAD-FREE USB - FPGA MODULE (PRELIMINARY) APPLICATIONS: - Rapid Prototyping - Educational Tool - Industrial / Process Control - Data Acquisition / Processing - Embedded Processor FEATURES:

More information

ModelSim-Altera Software Simulation User Guide

ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide ModelSim-Altera Software Simulation User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01102-2.0 Document last updated for Altera Complete

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Design of a High Speed Communications Link Using Field Programmable Gate Arrays

Design of a High Speed Communications Link Using Field Programmable Gate Arrays Customer-Authored Application Note AC103 Design of a High Speed Communications Link Using Field Programmable Gate Arrays Amy Lovelace, Technical Staff Engineer Alcatel Network Systems Introduction A communication

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54

University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Fall 2005 Instructor Texts University of St. Thomas ENGR 230 ---- Digital Design 4 Credit Course Monday, Wednesday, Friday from 1:35 p.m. to 2:40 p.m. Lecture: Room OWS LL54 Lab: Section 1: OSS LL14 Tuesday

More information

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002

10 Gigabit Ethernet MAC Core for Altera CPLDs. 1 Introduction. Product Brief Version 1.4 - February 2002 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Finite State Machine Design and VHDL Coding Techniques

Finite State Machine Design and VHDL Coding Techniques Finite State Machine Design and VHDL Coding Techniques Iuliana CHIUCHISAN, Alin Dan POTORAC, Adrian GRAUR "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava iulia@eed.usv.ro,

More information