On the use of programmable logic in FabLabs

Size: px
Start display at page:

Download "On the use of programmable logic in FabLabs"

Transcription

1

2 Self introduction Electrical Engineer Self employed: Focus: Embedded Systems Motivation for being here: Providing and getting new ideas, make an offer, meeting interesting people

3 Source:

4 RGB LED Matrix Display Control: challenging (timing) Control with programmable logic: Very good fit

5 Programmable logic Complex Programmable Logic Devices (CPLD s) Field Programmable Gate Arrays (FPGA s) Ever heard about it? Ever used it?

6 Some myths about programmable logic Very complicated to use Only for people with engineering degree Development tools are very expensive

7 Introduction Programmable logic Basics FabLab implementation

8 Introduction Programmable logic Basics FabLab implementation Typical maker szenario Arduino with Breadboard

9 Introduction Programmable logic Basics FabLab implementation Nicer maker szenario Arduino with FPGA Shield

10 FPGA Basics (1) int Logic block int Logic block clock int Logic block int Logic block int dsp int Logic block int Logic block int mem I/O I/O I/O Inner FPGA components

11 FPGA Basics (2) Main FPGA manufacturers: Altera R Xilinx R > 90% market share

12 FPGA Basics (3) What do I need to get started with FPGA s? FPGA Board (costs: around 100e) Cost free development tool (from FPGA manufacturer)

13 FPGA Basics (4) What do I need to know to get started? Basic knowledge of FPGA functionality Basic capabilities with development tools Basic VHDL knowledge (not mandatory, but highly recommended)

14 FPGA Basics (5) Development workflow Design entry Synthesis Implementation Bitstream generation

15 VHDL Entry

16 opencores.org

17 Topics FPGA board(s) FPGA design software installation Appropriate documentation Staff training

18 Documentation Proposal: FabLab standard Beginner level Tool installation guide Get Started Well worded out examples for typical FabLab digital electronic design tasks

19 FabLab staff training Kickstart workshop Development of Library with examples and design patterns ( Cookbook ) Establish FabLab programmable logic design network Onsite programmable logic workshops

20 Summary Programmable logic can be very useful for FabLab users Utilization of programmable logic is feasible in a FabLab environment Some effort is necessary Challenge can be mastered collaboratively!

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition

RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition RAPID PROTOTYPING OF DIGITAL SYSTEMS Second Edition A Tutorial Approach James O. Hamblen Georgia Institute of Technology Michael D. Furman Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS Boston

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Hardware and Software

Hardware and Software Hardware and Software 1 Hardware and Software: A complete design Hardware and software support each other Sometimes it is necessary to shift functions from software to hardware or the other way around

More information

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD)

AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) AC 2007-2485: PRACTICAL DESIGN PROJECTS UTILIZING COMPLEX PROGRAMMABLE LOGIC DEVICES (CPLD) Samuel Lakeou, University of the District of Columbia Samuel Lakeou received a BSEE (1974) and a MSEE (1976)

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Optimising the resource utilisation in high-speed network intrusion detection systems.

Optimising the resource utilisation in high-speed network intrusion detection systems. Optimising the resource utilisation in high-speed network intrusion detection systems. Gerald Tripp www.kent.ac.uk Network intrusion detection Network intrusion detection systems are provided to detect

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

Digital Systems. Role of the Digital Engineer

Digital Systems. Role of the Digital Engineer Digital Systems Role of the Digital Engineer Digital Design Engineers attempt to clearly define the problem(s) Possibly, break the problem into many smaller problems Engineers then develop a strategy for

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

FPGA DATABASE TUTORIAL

FPGA DATABASE TUTORIAL 1 of/von 7 FPGA DATABASE TUTORIAL 2 of/von 7 1. INTRODUCTION So-logic's FPGA database is a directory of all FPGA/CPLD families that have ever existed on the market and are known to so-logic company. In

More information

CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet

CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet CMOS OV7660 Camera Module 1/5-Inch 0.3-Megapixel Module Datasheet Rev 1.0, June 2013 Table of Contents 1 Introduction...2 2 Features...3 3 Key Specifications...4 4 Application...4 5 Pin Definition...6

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

UNIVERSITY OF YORK POSTGRADUATE PROGRAMME SPECIFICATION

UNIVERSITY OF YORK POSTGRADUATE PROGRAMME SPECIFICATION 1 of 7 12/09/2014 08:35 Department of Electronics : Programme Specification MSc : Digital Systems Engineering Table of Contents Course Aims and Specification Diagrammatic Module Structure Learning Outcomes

More information

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students

Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Session: 2220 Technical Aspects of Creating and Assessing a Learning Environment in Digital Electronics for High School Students Adam S. El-Mansouri, Herbert L. Hess, Kevin M. Buck, Timothy Ewers Microelectronics

More information

FPGA-based MapReduce Framework for Machine Learning

FPGA-based MapReduce Framework for Machine Learning FPGA-based MapReduce Framework for Machine Learning Bo WANG 1, Yi SHAN 1, Jing YAN 2, Yu WANG 1, Ningyi XU 2, Huangzhong YANG 1 1 Department of Electronic Engineering Tsinghua University, Beijing, China

More information

A First Course in Digital Design Using VHDL and Programmable Logic

A First Course in Digital Design Using VHDL and Programmable Logic A First Course in Digital Design Using VHDL and Programmable Logic Shawki Areibi Abstract Present industry practice has created a high demand for systems designers with knowledge and experience in using

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

NIOS II Based Embedded Web Server Development for Networking Applications

NIOS II Based Embedded Web Server Development for Networking Applications NIOS II Based Embedded Web Server Development for Networking Applications 1 Sheetal Bhoyar, 2 Dr. D. V. Padole 1 Research Scholar, G. H. Raisoni College of Engineering, Nagpur, India 2 Professor, G. H.

More information

Systemy wbudowane. Współczesne technologie implementacji mikrokontrolerów i systemów wbudowanych. Copyright 2007 Frank Vahid

Systemy wbudowane. Współczesne technologie implementacji mikrokontrolerów i systemów wbudowanych. Copyright 2007 Frank Vahid Systemy wbudowane Współczesne technologie implementacji mikrokontrolerów i systemów wbudowanych dr inż. Ignacy Pardyka, UJK Kielce Materiały źródłowe:. Slides to accompany the textbook, First Edition,

More information

White Paper 40-nm FPGAs and the Defense Electronic Design Organization

White Paper 40-nm FPGAs and the Defense Electronic Design Organization White Paper 40-nm FPGAs and the Defense Electronic Design Organization Introduction With Altera s introduction of 40-nm FPGAs, the design domains of military electronics that can be addressed with programmable

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

White Paper FPGA Performance Benchmarking Methodology

White Paper FPGA Performance Benchmarking Methodology White Paper Introduction This paper presents a rigorous methodology for benchmarking the capabilities of an FPGA family. The goal of benchmarking is to compare the results for one FPGA family versus another

More information

Document ID: FLXN111 PRODUCTS AND LICENSING

Document ID: FLXN111 PRODUCTS AND LICENSING Document ID: FLXN111 PRODUCTS AND LICENSING This document could contain technical inaccuracies or typographical errors. Flexibilis Oy may make changes in the product described in this document at any time.

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 ISSN 2229-5518 International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 2922 Design and Verification of a Software Defined radio platform using Modelsim and Altera FPGA. Barun Sharma,P.Nagaraju,Krishnamurthy

More information

Microprocessor and Hardware Laboratory (MHL)

Microprocessor and Hardware Laboratory (MHL) Microprocessor and Hardware Laboratory (MHL) Διονύσης Πνευματικάτος Καθηγητής, Διευθυντής MHL Τμήμα Ηλεκτρονικών Μηχανικών και Μηχανικών Υπολογιστών ΠΟΛΥΤΕΧΝΕΙΟ ΚΡΗΤΗΣ Mission High Quality Research: Basic

More information

An Open Source Circuit Library with Benchmarking Facilities

An Open Source Circuit Library with Benchmarking Facilities An Open Source Circuit Library with Benchmarking Facilities Mariusz Grad and Christian Plessl Paderborn Center for Parallel Computing, University of Paderborn {mariusz.grad christian.plessl}@uni-paderborn.de

More information

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic

Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Example-driven Interconnect Synthesis for Heterogeneous Coarse-Grain Reconfigurable Logic Clifford Wolf, Johann Glaser, Florian Schupfer, Jan Haase, Christoph Grimm Computer Technology /99 Overview Ultra-Low-Power

More information

Cryptography & Network-Security: Implementations in Hardware

Cryptography & Network-Security: Implementations in Hardware Kris Gaj joined ECE GMU in Fall 1998 Cryptography & Network-Security: Implementations in Hardware http://ece.gmu.edu/crypto-text.htm 6 Ph.D. Students Pawel Chodowiec Charikleia Zouridaki Chang Shu Sashisu

More information

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER

LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER LAB #3 VHDL RECOGNITION AND GAL IC PROGRAMMING USING ALL-11 UNIVERSAL PROGRAMMER OBJECTIVES 1. Learn the basic elements of VHDL that are implemented in Warp. 2. Build a simple application using VHDL and

More information

MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors

MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors Jingzhao Ou and Viktor K. Prasanna Department of Electrical Engineering, University of Southern

More information

Implementation and Design of AES S-Box on FPGA

Implementation and Design of AES S-Box on FPGA International Journal of Research in Engineering and Science (IJRES) ISSN (Online): 232-9364, ISSN (Print): 232-9356 Volume 3 Issue ǁ Jan. 25 ǁ PP.9-4 Implementation and Design of AES S-Box on FPGA Chandrasekhar

More information

FPGAs for Trusted Cloud Computing

FPGAs for Trusted Cloud Computing FPGAs for Trusted Cloud Computing Traditional Servers Datacenter Cloud Servers Datacenter Cloud Manager Client Client Control Client Client Control 2 Existing cloud systems cannot offer strong security

More information

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09

The Advanced JTAG Bridge. Nathan Yawn nathan.yawn@opencores.org 05/12/09 The Advanced JTAG Bridge Nathan Yawn nathan.yawn@opencores.org 05/12/09 Copyright (C) 2008-2009 Nathan Yawn Permission is granted to copy, distribute and/or modify this document under the terms of the

More information

Design of Remote Laboratory dedicated to E2LP board for e-learning courses.

Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Proceedings of the E2LP Workshop Warsaw, 2014, pp. 25 29 DOI: 10.15439/2014F672 ACSIS, Vol. 4 Design of Remote Laboratory dedicated to E2LP board for e-learning courses. Jan Piwiński Email: jpiwinski@piap.pl

More information

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL

FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL FPGA Implementation of an Advanced Traffic Light Controller using Verilog HDL B. Dilip, Y. Alekhya, P. Divya Bharathi Abstract Traffic lights are the signaling devices used to manage traffic on multi-way

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

2. TEACHING ENVIRONMENT AND MOTIVATION

2. TEACHING ENVIRONMENT AND MOTIVATION A WEB-BASED ENVIRONMENT PROVIDING REMOTE ACCESS TO FPGA PLATFORMS FOR TEACHING DIGITAL HARDWARE DESIGN Angel Fernández Herrero Ignacio Elguezábal Marisa López Vallejo Departamento de Ingeniería Electrónica,

More information

Embedded System Hardware - Processing (Part II)

Embedded System Hardware - Processing (Part II) 12 Embedded System Hardware - Processing (Part II) Jian-Jia Chen (Slides are based on Peter Marwedel) Informatik 12 TU Dortmund Germany Springer, 2010 2014 年 11 月 11 日 These slides use Microsoft clip arts.

More information

Implementation of emulated digital CNN-UM architecture on programmable logic devices and its applications

Implementation of emulated digital CNN-UM architecture on programmable logic devices and its applications Implementation of emulated digital CNN-UM architecture on programmable logic devices and its applications Theses of the Ph.D. dissertation Zoltán Nagy Scientific adviser: Dr. Péter Szolgay Doctoral School

More information

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder

SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT. Nicholas Wieder SYSTEM-ON-PROGRAMMABLE-CHIP DESIGN USING A UNIFIED DEVELOPMENT ENVIRONMENT by Nicholas Wieder A thesis submitted to the faculty of The University of North Carolina at Charlotte in partial fulfillment of

More information

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source)

How To Fix A 3 Bit Error In Data From A Data Point To A Bit Code (Data Point) With A Power Source (Data Source) And A Power Cell (Power Source) FPGA IMPLEMENTATION OF 4D-PARITY BASED DATA CODING TECHNIQUE Vijay Tawar 1, Rajani Gupta 2 1 Student, KNPCST, Hoshangabad Road, Misrod, Bhopal, Pin no.462047 2 Head of Department (EC), KNPCST, Hoshangabad

More information

VHDL-Testbench as Executable Specification

VHDL-Testbench as Executable Specification VHDL- as Executable Specification Michael Pichler Zentrum für Mikroelektronik Aargau Fachhochschule Aargau, Steinackerstrasse 5, CH-5210 Windisch Web: www.zma.ch - E-mail: m.pichler@zma.ch Seite 1 Overview

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Radar Signal Processing:

Radar Signal Processing: Radar Signal Processing: Hardware Accelerator and Hardware Update First Semester Report Fall Semester 2007 by Michael Neuberg Christopher Picard Prepared to partially fulfill the requirements for ECE401

More information

2. Scope of the DE0 Board and Supporting Material

2. Scope of the DE0 Board and Supporting Material 1 Getting Started with Altera s DE0 Board This document describes the scope of Altera s DE0 Development and Education Board and the supporting materials provided by the Altera Corporation. It also explains

More information

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design

Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Boole-WebLab-Deusto: Integration of a Remote Lab in a Tool for Digital Circuits Design Javier García-Zubía (IEEE Senior Member), Ignacio Angulo, Luis Rodríguez-Gil Faculty of Engineering University of

More information

IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER NETWORKS. Received May 2010; accepted July 2010

IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER NETWORKS. Received May 2010; accepted July 2010 ICIC Express Letters Part B: Applications ICIC International c 2010 ISSN 2185-2766 Volume 1, Number 1, September 2010 pp. 71 76 IMPLEMENTATION OF FPGA CARD IN CONTENT FILTERING SOLUTIONS FOR SECURING COMPUTER

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

MIMO detector algorithms and their implementations for LTE/LTE-A

MIMO detector algorithms and their implementations for LTE/LTE-A GIGA seminar 11.01.2010 MIMO detector algorithms and their implementations for LTE/LTE-A Markus Myllylä and Johanna Ketonen 11.01.2010 2 Outline Introduction System model Detection in a MIMO-OFDM system

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information

MSME TOOL ROOM, HYDERABAD CENTRAL INSTITUTE OF TOOL DESIGN

MSME TOOL ROOM, HYDERABAD CENTRAL INSTITUTE OF TOOL DESIGN MSME TOOL ROOM, HYDERABAD CENTRAL INSTITUTE OF TOOL DESIGN (An ISO 9001:2008, ISO 29990; 2010, ISO 14001:2004 & ISO 50001:2011 Certified Institution) VES COURSES 2015-20162016 10 Months Full Time Courses

More information

Open Flow Controller and Switch Datasheet

Open Flow Controller and Switch Datasheet Open Flow Controller and Switch Datasheet California State University Chico Alan Braithwaite Spring 2013 Block Diagram Figure 1. High Level Block Diagram The project will consist of a network development

More information

Modeling Latches and Flip-flops

Modeling Latches and Flip-flops Lab Workbook Introduction Sequential circuits are digital circuits in which the output depends not only on the present input (like combinatorial circuits), but also on the past sequence of inputs. In effect,

More information

How to download and install ISE WebPACK

How to download and install ISE WebPACK How to download and install ISE WebPACK National Science Foundation Funded in part, by a grant from the National Science Foundation DUE 1003736 and 1068182 Acknowledgements Developed by Craig Kief, Bassam

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

Inverter Field Service Technician II

Inverter Field Service Technician II Inverter Field Service Technician II This position requires extensive travel when in the field. When Inverter Field Service Technicians do not have field assignments they are assigned to the factory s

More information

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz

Xilinx ISE. <Release Version: 10.1i> Tutorial. Department of Electrical and Computer Engineering State University of New York New Paltz Xilinx ISE Tutorial Department of Electrical and Computer Engineering State University of New York New Paltz Fall 2010 Baback Izadi Starting the ISE Software Start ISE from the

More information

White Paper Selecting the Ideal FPGA Vendor for Military Programs

White Paper Selecting the Ideal FPGA Vendor for Military Programs White Paper Introduction As digital processing technologies such as digital signal processors, FPGAs, and CPUs become more complex and powerful, product and feature differentiation among vendors has significantly

More information

Networking Remote-Controlled Moving Image Monitoring System

Networking Remote-Controlled Moving Image Monitoring System Networking Remote-Controlled Moving Image Monitoring System First Prize Networking Remote-Controlled Moving Image Monitoring System Institution: Participants: Instructor: National Chung Hsing University

More information

Defining Platform-Based Design. System Definition. Platform Based Design What is it? Platform-Based Design Definitions: Three Perspectives

Defining Platform-Based Design. System Definition. Platform Based Design What is it? Platform-Based Design Definitions: Three Perspectives Based Design What is it? Question: How many definitions of Based Design are there? Defining -Based Design Answer: How many people to you ask? What does the confusion mean? It is a definition in transition

More information

Using Xilinx ISE for VHDL Based Design

Using Xilinx ISE for VHDL Based Design ECE 561 Project 4-1 - Using Xilinx ISE for VHDL Based Design In this project you will learn to create a design module from VHDL code. With Xilinx ISE, you can easily create modules from VHDL code using

More information

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach

A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach A Second Undergraduate Course in Digital Logic Design: The Datapath+Controller-Based Approach Mitchell A. Thornton 1 and Aaron S. Collins 2 Abstract A second undergraduate course in digital logic design

More information

EC313 - VHDL State Machine Example

EC313 - VHDL State Machine Example EC313 - VHDL State Machine Example One of the best ways to learn how to code is seeing a working example. Below is an example of a Roulette Table Wheel. Essentially Roulette is a game that selects a random

More information

Low-resolution Image Processing based on FPGA

Low-resolution Image Processing based on FPGA Abstract Research Journal of Recent Sciences ISSN 2277-2502. Low-resolution Image Processing based on FPGA Mahshid Aghania Kiau, Islamic Azad university of Karaj, IRAN Available online at: www.isca.in,

More information

Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes)

Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes) Going from Virtex-2 pro to SmartFusion2 Learning by doing (mistakes) On behalf of the RCU2 collaboration: Johan Alme (johan.alme@hib.no) FPGA Forum 2015, Trondheim 11. 12. Februar 2015 Outline This is

More information

Serial port interface for microcontroller embedded into integrated power meter

Serial port interface for microcontroller embedded into integrated power meter Serial port interface for microcontroller embedded into integrated power meter Mr. Borisav Jovanović, Prof. dr. Predrag Petković, Prof. dr. Milunka Damnjanović, Faculty of Electronic Engineering Nis, Serbia

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

An Online Lab to Support a Master Program in Remote Engineering

An Online Lab to Support a Master Program in Remote Engineering An Online Lab to Support a Master Program in Remote Engineering Diana Pop, Danilo G. Zutin, Michael E. Auer, Karsten Henke and Heinz-Dietrich Wuttke Diana.Pop@edu.fh-kaernten.ac.at, d.garbizutin@cuas.at,

More information

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur 2015 The MathWorks, Inc. 1 Model-Based Design Continuous Verification and Validation Requirements

More information

Chapter 7 Memory and Programmable Logic

Chapter 7 Memory and Programmable Logic NCNU_2013_DD_7_1 Chapter 7 Memory and Programmable Logic 71I 7.1 Introduction ti 7.2 Random Access Memory 7.3 Memory Decoding 7.5 Read Only Memory 7.6 Programmable Logic Array 77P 7.7 Programmable Array

More information

Lab 1: Introduction to Xilinx ISE Tutorial

Lab 1: Introduction to Xilinx ISE Tutorial Lab 1: Introduction to Xilinx ISE Tutorial This tutorial will introduce the reader to the Xilinx ISE software. Stepby-step instructions will be given to guide the reader through generating a project, creating

More information

Beschleunigen von Algorithmen mit High-Level Synthese auf Xilinx Zynq

Beschleunigen von Algorithmen mit High-Level Synthese auf Xilinx Zynq 08. Oktober 2014 Beschleunigen von Algorithmen mit High-Level Synthese auf Xilinx Zynq Florian Hagel, Missing Link Electronics GmbH We are Our Mission is Our Expertise is a Silicon Valley based technology

More information

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview

Technical Note. Micron NAND Flash Controller via Xilinx Spartan -3 FPGA. Overview. TN-29-06: NAND Flash Controller on Spartan-3 Overview Technical Note TN-29-06: NAND Flash Controller on Spartan-3 Overview Micron NAND Flash Controller via Xilinx Spartan -3 FPGA Overview As mobile product capabilities continue to expand, so does the demand

More information

Building A RISC Microcontroller in an FPGA

Building A RISC Microcontroller in an FPGA Building A RISC Microcontroller in an FPGA Name : Yap Zi He Course : 4 SEL Supervisor : PM Muhammad Mun im Ahmad Zabidi Introduction Reduce Instruction Set Computer (RISC) is a new trend on computer design.

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

Avoiding pitfalls in PROFINET RT and IRT Node Implementation

Avoiding pitfalls in PROFINET RT and IRT Node Implementation Avoiding pitfalls in PROFINET RT and IRT Node Implementation Prof. Hans D. Doran ZHAW / Institute of Embedded Systems Technikumstrasse 9, 8400 Winterthur, Switzerland E-Mail: hans.doran@zhaw.ch Lukas Itin

More information

Xilinx System Generator Based HW Components for Rapid Prototyping of Computer Vision SW/HW Systems *

Xilinx System Generator Based HW Components for Rapid Prototyping of Computer Vision SW/HW Systems * Xilinx System Generator Based HW Components for Rapid Prototyping of Computer Vision SW/HW Systems * 667 Ana Toledo 1, Cristina Vicente 2, Juan Suardíaz 1, and Sergio Cuenca 3 1 Departamento de Tecnología

More information

PROFESSIONAL DEVELOPMENT OPPORTUNITIES

PROFESSIONAL DEVELOPMENT OPPORTUNITIES PROFESSIONAL DEVELOPMENT OPPORTUNITIES FOR ELECTRICAL ENGINEERING TECHNOLOGY EDUCATORS IN VHDL AND FPGA DESIGN Nasser Alaraje, Aleksandr Sergeyev, William Kennedy and Carl Seidel, Michigan Technological

More information

Hardware Implementation of the Stone Metamorphic Cipher

Hardware Implementation of the Stone Metamorphic Cipher International Journal of Computer Science & Network Security VOL.10 No.8, 2010 Hardware Implementation of the Stone Metamorphic Cipher Rabie A. Mahmoud 1, Magdy Saeb 2 1. Department of Mathematics, Faculty

More information

KEEP IT SYNPLE STUPID

KEEP IT SYNPLE STUPID Utilizing Programmable Logic for Analyzing Hardware Targets Dmitry Nedospasov SHORT DESCRIPTION Hardware security analysis differs from software security analysis primarily in the tools

More information

ReCoSoC'11 Montpellier, France. Implementation Scenario for Teaching Partial Reconfiguration of FPGA

ReCoSoC'11 Montpellier, France. Implementation Scenario for Teaching Partial Reconfiguration of FPGA ReCoSoC'11 Montpellier, France Implementation Scenario for Teaching Partial Reconfiguration of FPGA Pierre Leray, Amor Nafkha, Christophe Moy SUPELEC/IETR 22 June 2011 SUPELEC - Campus de Rennes - France

More information

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25

FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 FPGA Acceleration using OpenCL & PCIe Accelerators MEW 25 December 2014 FPGAs in the news» Catapult» Accelerate BING» 2x search acceleration:» ½ the number of servers»

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

SoC Curricula at Tallinn Technical University

SoC Curricula at Tallinn Technical University SoC Curricula at Tallinn Technical University Margus Kruus, Kalle Tammemäe, Peeter Ellervee Tallinn Technical University Phone: +372-6202250, Fax: +372-6202246 kruus@cc.ttu.ee nalle@cc.ttu.ee lrv@cc.ttu.ee

More information

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER

ATMEL FPGA 3rd User Group Workshop. 2010, 3rd June Christophe POURRIER ATMEL FPGA 3rd User Group Workshop 2010, 3rd June Christophe POURRIER Summary Sodern first experience with AT40K Megha-Tropiques Project PHARAO Project ATF280 Evaluation Tests performed on the first development

More information

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division

Introduction to Programmable Logic Devices. John Coughlan RAL Technology Department Detector & Electronics Division Introduction to Programmable Logic Devices John Coughlan RAL Technology Department Detector & Electronics Division PPD Lectures Programmable Logic is Key Underlying Technology. First-Level and High-Level

More information

Arquitectura Virtex. Delay-Locked Loop (DLL)

Arquitectura Virtex. Delay-Locked Loop (DLL) Arquitectura Virtex Compuesta de dos elementos principales configurables : CLBs y IOBs. Los CLBs se interconectan a través de una matriz general de routeado (GRM). Posse una intefaz VersaRing que proporciona

More information

Software-Programmable FPGA IoT Platform. Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016

Software-Programmable FPGA IoT Platform. Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016 Software-Programmable FPGA IoT Platform Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016 Agenda Introduction Who we are IoT Platform in FPGA Lattice s IoT Vision IoT Platform

More information

No serious hazards are involved in this laboratory experiment, but be careful to connect the components with the proper polarity to avoid damage.

No serious hazards are involved in this laboratory experiment, but be careful to connect the components with the proper polarity to avoid damage. HARDWARE LAB 5/DESIGN PROJECT Finite State Machine Design of a Vending Machine Using Xilinx ISE Project Navigator and Spartan 3E FPGA Development Board with VHDL Acknowledgements: Developed by Bassam Matar,

More information

ECE 441/541 Advanced Digital Design and Field Programmable Gate Arrays Spring 2010

ECE 441/541 Advanced Digital Design and Field Programmable Gate Arrays Spring 2010 ECE 441/541 Advanced Digital Design and Field Programmable Gate Arrays Spring 2010 Course description: Course will provide a description of Field Programmable Gate Array (FPGA) technologies and the methods

More information

PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE

PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE PROTOTYPE DEVELOPMENT OF FPGA BASED PS/2 MOUSE CONTROLLED PCB DRILL MACHINE P. K. Gaikwad 1 1 Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA, pawangaikwad2003@yahoo.co.in Abstract

More information

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab

FPGA Accelerator Virtualization in an OpenPOWER cloud. Fei Chen, Yonghua Lin IBM China Research Lab FPGA Accelerator Virtualization in an OpenPOWER cloud Fei Chen, Yonghua Lin IBM China Research Lab Trend of Acceleration Technology Acceleration in Cloud is Taking Off Used FPGA to accelerate Bing search

More information