Implementing MATLAB and Simulink Algorithms on FPGAs

Size: px
Start display at page:

Download "Implementing MATLAB and Simulink Algorithms on FPGAs"

Transcription

1 Implementing MATLAB and Simulink Algorithms on FPGAs Stefano Olivieri Senior Application Engineer MathWorks Marco Visintini Sales Account Manager MathWorks Daniele Bagni DSP Specialist EMEA Xilinx 2011 The MathWorks, Inc. 1 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 2 1

2 Introducing The Speakers Xilinx: Daniele Bagni DSP Specialist EMEA MathWorks: Stefano Olvieri Senior Application Engineer Signal Processing and Communication Marco Visintini Sales Account Manager 3 MathWorks and Xilinx Goals MathWorks: accelerate the pace of engineering and science by providing best in class Software for: Development elopment and verification of algorithms and control logic Embedded Systems implementation Xilinx: providing best in class Silicon including FPGAs and embedded system hardware platforms : Offers FPGAs and Zynq an Extensible Processing Platform Partner with MathWorks to provide an integrated t workflow Purpose of the joint seminar: to demonstrate a Model-Based Design workflow for FPGAs - from first idea down to the Hardware. 4 2

3 MathWorks at a Glance Headquarters: Natick, Massachusetts US Other US Locations: California, Michigan, Texas, Washington DC Europe: France, Germany, Italy, Spain, the Netherlands, Sweden, Switzerland, UK Asia-Pacific: Australia, China, India, Japan, Korea Earth s topography on an equidistant cylindrical projection, created with MATLAB and Mapping Toolbox. Worldwide training and consulting Distributors in 25 countries 5 MathWorks Today Revenues ~$600M in 2010 Privately held More than 2000 employees worldwide Worldwide revenue balance: 45% North America, 55% international More than 1 million users in 175+ countries

4 Key Industries Aerospace and Defense Automotive Biotech and Pharmaceutical Communications Education Electronics and Semiconductors Energy Production Financial Services Industrial Automation and Machinery 7 Who is Who??? Who is a System Engineer? Who is an FPGA designers? Who is using MATLAB? Who is using Simulink? 10 4

5 Your Expectations Beyond the Agenda Corner Detection in Video Mosaicking (A Brief Example) 13 5

6 Things to remember. Algorithm Development DESIGN MATLAB Simulink Stateflow Use Model-Based Design to provide an integrated workflow Speed up algorithm development with a unified design environment Automate manual steps in FPGA implementation to enable shorter iteration cycles Integrate FPGA development tools to reduce verification time 14 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 15 6

7 Why do we use FPGAs? Customized interfaces to peripherals High-speed communication interfaces to other processors Bridge Memory Memory Memory We are going to focus on this use case today Finite state machines, digital logic, timing and memory control Analog I/O Digital I/O FPGA ARM High speed, highly parallel DSP Algorithms or Control Algorithms DSP DSP Algorithms 16 Separate Views of DSP Implementation System Designer FPGA Designer Algorithm Design System Test Bench RTL Design Verification Fixed-Point Environment Models IP Interfaces Behavioral Simulation Timing / Control Logic Analog Models HW Architecture Functional Simulation Architecture Exploration Digital Models Static Timing Analysis Algorithms / IP Algorithms / IP Timing Simulation Implement Design Back Annotation FPGA Requirements Hardware Specification Test Stimulus Synthesis Map Place & Route FPGA Hardware 17 7

8 Where do you spend most of your time? System Designer Algorithm Design System Test Bench Fixed-Point Environment Models Timing / Control Logic Analog Models Architecture Exploration Digital Models Algorithms / IP Algorithms / IP FPGA Requirements Hardware Specification Test Stimulus Simulating designs? Creating designs and test benches? Analyzing and combining results from multiple tools? Exploring implementation ideas and architectures? Floating point to fixed-point? Writing HW specifications? Iterating over designs with the FPGA designer? Blaming the FPGA designer? 18 Where do you spend most of your time? Simulating designs and validating against HW specs? Creating designs and writing test benches? Hardware architecture design? Writing interfaces to existing IP? Synthesis, Map, PAR cycles? Iterating over designs with the system designer? Blaming the system designer? FPGA Designer RTL Design Verification IP Interfaces Behavioral Simulation Hardware Architecture Functional Simulation Static Timing Analysis Timing Simulation Back Annotation Implement Design Synthesis Map Place & Route FPGA Hardware 19 8

9 A Few Ways to Reduce Development Time 1. Increase simulation speed 2. Simplify design entry, system test harness creation, and exploration 3. Shorter iteration cycles required for RTL design & verification 4. Integrate the separate workflows to facilitate collaboration, re-use, and prototyping 20 Model-Based Design for Implementation MATLAB and Simulink Algorithm and System Design Algorithm Design System Test Bench RTL Design Verification Fixed-Point Environment Models IP Interfaces Behavioral Simulation Timing / Control Logic Analog Models Hardware Architecture Functional Simulation Architecture Exploration Digital Models Static Timing Analysis Algorithms / IP Algorithms / IP Timing Simulation Implement Design Back Annotation FPGA Requirements Hardware Specification Test Stimulus Synthesis Map Place & Route FPGA Hardware 21 9

10 Model-Based Design for Implementation MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Automatic HDL Code Generation RTL Design IP Interfaces Hardware Architecture Implement Design Verification Behavioral Simulation Functional Simulation Static Timing Analysis Timing Simulation Back Annotation Synthesis Map Place & Route FPGA Hardware 22 Model-Based Design for Implementation MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Verification Automatic HDL Code Generation HDL Co-Simulation Behavioral Simulation Functional Simulation Static Timing Analysis Behavioral Simulation Timing Simulation Implement Design Back Annotation Synthesis Map Place & Route FPGA Hardware 23 10

11 Model-Based Design for Implementation MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Verification Automatic HDL Code Generation HDL Co-Simulation Functional Simulation Static Timing Analysis Behavioral Simulation Timing Simulation Back Annotation Implement Design Back Annotation Synthesis Map Implement Design Verification Place & Route FPGA Hardware Synthesis Functional Simulation Map Static Timing Analysis Place & Route Timing Simulation 24 Model-Based Design for Implementation MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Automatic HDL Code Generation HDL Co-Simulation Behavioral Simulation Back Annotation Implement Design Synthesis Map Place & Route Verification Functional Simulation Static Timing Analysis Timing Simulation FPGA Hardware FPGA Hardware FPGA-in-the-Loop 25 11

12 Why Model-Based Design? MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Use Model-Based Design to provide an integrated workflow Automatic HDL Code Generation Behavioral Simulation HDL Co-Simulation Speed up algorithm development with a unified design environment Implement Design Synthesis Map Place & Route Back Annotation Verification Functional Simulation Static Timing Analysis Timing Simulation FPGA Hardware FPGA-in-the-Loop Automate manual steps in FPGA implementation to enable shorter iteration cycles Integrate FPGA development tools to reduce verification time 27 AT4 wireless Increases Internal Test Coverage to Over 90% for LTE Physical Layer Test Equipment Designs Challenge Develop test systems for LTE wireless equipment Solution Use MATLAB and Simulink to design and simulate the LTE physical layer, verify the FPGA implementation, and analyze test results Results Internal test coverage increased to over 90% Test harness reused throughout the project life cycle Development effort reduced by 25 30% AT4 wireless LTE layer 1 tester. MATLAB is a universal language that makes it easy to exchange algorithms and test results across our team. Our physical layer model in MATLAB and Simulink enabled us to better understand the LTE specifications, and Model-Based Design enabled us to verify that our FPGA implementation conformed to those specifications. Francisco Javier Campos AT4 wireless Link to user story 29 12

13 Semtech Speeds Development of Digital Receiver FPGAs and ASICs Challenge Accelerate the development of optimized digital receiver chains for wireless RF devices Solution Use MathWorks tools for Model-Based Design to generate production VHDL code for rapid FPGA and ASIC implementation Results Prototypes created 50% faster Verification time reduced from weeks to days Optimized, better-performing design delivered Link to user story The Semtech SX1231 wireless transceiver. Writing VHDL is tedious, and the handwritten code still needs to be verified. With Simulink and Simulink HDL Coder, once we have simulated the model we can generate VHDL directly and prototype an FPGA. It saves a lot of time, and the generated code contains some optimizations we hadn t thought of. Frantz Prianon Semtech 30 Case Study: Corner Detection Algorithm 2011 The MathWorks, Inc

14 Harris-Stephens Corner Detection Corner detection is used in many Image Processing applications Image mosaicking Tracking Object recognition 32 Harris-Stephens Corner Detection Horizontal Gradient Corner Metric M c Sobel Edge Filter Vertical Gradient Calculate Corner Metrics Threshold & Find Local Maxima 33 14

15 From Algorithm to Synthesizable RTL MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Automatic HDL Code Generation HDL Co-Simulation Behavioral Simulation Back Annotation Implement Design Synthesis Map Place & Route Verification Functional Simulation Static Timing Analysis Timing Simulation FPGA Hardware FPGA-in-the-Loop 34 Flexible Design Environment Design, Simulation and Implementation Choice of best modeling methods (Simulink, MATLAB and Stateflow) Integrate with MATLAB Algorithm Design 35 15

16 Fixed Point Analysis Corner Detection Convert floating point to optimized fixed point models Automatic tracking of signal range (also intermediate quantities) Word / Fraction lengths recommendation Bit-true models in the same environment Automatically identify and solve fixed point issues 36 Automatic HDL Code Generation Corner Detection Automatically generate bit true, cycle accurate HDL code from Simulink, MATLAB and Stateflow Full bi-directional traceability!! Requirements 37 16

17 Simulink Library Support for HDL HDL Supported Blocks 170 blocks supported Core Simulink Blocks Basic and Array Arithmetic, Look-Up Tables, Signal Routing (Mux/Demux, Delays, Selectors), Logic & Bit Operations, Dual and single port RAMs, FIFOs, CORDICs Signal Processing Blocks NCOs, FFTs, Digital Filters (FIR, IIR, Multirate, Adaptive), Rate Changes (Up &Down Sample), Statistics (Min/Max) Communications Blocks Psuedo-random Sequence Generators, Modulators / Demodulators, Interleavers / Deinterleavers, Viterbi Decoders 38 MATLAB & Stateflow for HDL HDL Supported Blocks MATLAB Relevant subset of the MATLAB language for modeling and generating HDL implementations eml_hdl_design_patterns: Useful MATLAB Function Block Design Patterns for HDL Stateflow Graphical tool for modeling Mealy and Moore Finite State Machines 39 17

18 Integrating Legacy HDL Code HDL Supported Blocks Integrate legacy HDL code in Simulink using black boxes Configure the interface to legacy HDL code EDA Simulator Link is a special black box 40 Summary: Modeling and Code Generation Model-Based Design provides an integrated workflow Optimized design on a System Level Speed up algorithm development with a unified design environment Collaborate with other engineers Use Simulink blocks, Stateflow or MATLAB for modeling and implementation Shorter iteration cycles Assisted Fixed-Point Conversion Automatic HDL Code Generation 41 18

19 Break 42 Things to remember. Algorithm Development DESIGN MATLAB Simulink Stateflow Use Model-Based Design to provide an integrated workflow Speed up algorithm development with a unified design environment Automate manual steps in FPGA implementation to enable shorter iteration cycles Integrate FPGA development tools to reduce verification time 43 19

20 What would you like to get from automatic code generation? 44 Hardware Design Challenges: Optimizing for Speed, Area or Power DESIGN Algorithm Development MATLAB Simulink Stateflow Optimize HDL code Verify optimized HDL Place & Route Analyze result 45 20

21 IIR Low Pass Filter Direct-Form II Transposed SOS 46 From Algorithm to Optimized RTL MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Automatic HDL Code Generation HDL Co-Simulation Behavioral Simulation Back Annotation Implement Design Synthesis Map Place & Route Verification Functional Simulation Static Timing Analysis Timing Simulation FPGA Hardware FPGA-in-the-Loop 47 21

22 Hardware Design Challenges: Speed Optimization Finding the critical path in your model can be challenging 48 Demo: HDL Workflow Advisor >> Choose target workflow: FPGA-in-the-Loop FPGA Turnkey Design exploration: Generic ASIC/FPGA Choose FPGA target 49 22

23 Demo: HDL Workflow Advisor Perform relevant checks for HDL code generation 50 Demo: HDL Workflow Advisor Set options and generate automatically HDL code 51 23

24 Demo: HDL Workflow Advisor Create FPGA project Run P&R -and- Annotate timing information Automated workflow from model to FPGA Analysis & Implementation 52 Identifying the critical path Speed Optimization Critical Path highlighting: Visual representation of critical path in your model Easier to identify bottlenecks of your model 53 24

25 Balancing pipeline registers Speed Optimization parallel paths critical path Multiple parallel paths through your model High risk to have unmatched latencies 54 Demo: Configuring Pipelining Options Speed Optimization 55 25

26 Distributed Pipelining Speed Optimization Distributed pipelining (model retiming) Automatic balancing of pipeline registers (focus on critical path only) You are in full control of your pipelining strategy Bottom-up and top-down 56 Distributed Pipelining Speed Optimization Minimum period: ns Maximum Frequency: MHz Section 1 Section 3 Section 2 Device,package,speed: xc5vsx50t,ff1136,

27 Distributed Pipelining Speed Optimization Minimum period: 9.379ns Maximum Frequency: MHz 62MHz Section 3 Device,package,speed: xc5vsx50t,ff1136,-1 Section 2 58 Hardware Design Challenges: Area Optimization X X X X X MUX X SCHEDULING DEMUX X 59 27

28 IIR Low Pass Filter Direct-Form II Transposed SOS Challenges: Data dependent resources to be shared Feedback loops Vectorized inputs 60 Demo: Configuring Sharing Options Area Optimization 61 28

29 Resource Sharing and Streaming Area Optimization Easy to explore different sharing options Direct feedback through resource utilization report Prove correctness through validation models 62 Hardware Design Challenges: Power Optimization Power Dissipation = Static Power + Dynamic Power Static Power = Due to transistor leakage current Significant in smaller silicon geometries Dynamic Power = CV 2 f Function of load capacitance, operating frequency, and voltage swing 63 29

30 Better Algorithm Design Power Optimization Steps To Reduce Power Smaller/Efficient Designs Reduce Clock Frequency Control Subsystem Execution (enabled/triggered subsystems) Low Power Design Libraries/FPGA Devices 64 Multi-rate Models to Reduce Clock Frequency Power Optimization Cycle accurate simulation and implementation Multiple or single clock implementation clk_enable clk clk_enable Timing Controller enb_1_2_1 enb_1_2_

31 Control Subsystem Execution Power Optimization Enabled Subsystems Modules can be enabled and disabled 66 Control Subsystem Execution Power Optimization Triggered Subsystems Modules can be triggered: rising / falling / either edge 67 31

32 Harris-Stephens Corner Detection How do these techniques work with our Corner Detection algorithm?? 68 Summary: Corner Detection Demo Multipliers 154 Adders/Subtractors 90 Registers 852 RAMs 0 Multiplexers 2 Multipliers 6 Adders/Subtractors 46 Registers 679 RAMs 4 Multiplexers 302 Easy approach to explore different implementations No costly mistakes 69 32

33 Summary: Code Generation Optimizations Shorter iteration cycles Automatic HDL code generation Flexible automatic HDL Code generation Speed Optimization Area Optimization Make the right design choices to save power Analyze implementation results, resource utilization report Validation models to prove that implementation is correct 71 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 72 33

34 Things to remember. Algorithm Development DESIGN MATLAB Simulink Stateflow Use Model-Based Design to provide an integrated workflow Speed up algorithm development with a unified design environment Automate manual steps in FPGA implementation to enable shorter iteration cycles Integrate FPGA development tools to reduce verification time 73 HDL Verification How do you do HDL verification today? 74 34

35 Verification Challenges: HDL Verification Design the Test Bench twice 10 to 1 ratio of Test bench LOC to Design LOC Many stimuli-files from MATLAB These are ideal references which require pre- and postprocessing How to analyze results? 75 Verification Challenges: HDL Verification Demo: Re-Use System Level Test Bench 76 35

36 Digital Down Converter DDC accepts A high sample-rate passband signal (may be 50 to 100 Msps) DDC produces A low sample-rate baseband signal ready for demodulation ~70 MSPS ~270 KSPS RF Section A/D Conv Digital Down Converter Demod 77 Integrated HDL Verification MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware Automatic HDL Code Generation HDL Co-Simulation Behavioral Simulation Back Annotation Implement Design Synthesis Map Place & Route Verification Functional Simulation Static Timing Analysis Timing Simulation FPGA Hardware FPGA-in-the-Loop 78 36

37 Verify Handwritten HDL Vector-Based Digital Down Converter What is the impact of these differences? Difficult to analyze simulation results 79 Co-Simulation with HDL simulators Digital Down Converter Re-use system level test bench Flexible testbench creation in Simulink Direct simulation link to HDL Simulators Automatically generated HDL co-simulation models Difference is small and in the stopband of the filter 80 37

38 Additional Methods for Verification HDL Verification Techniques Co-simulation with MATLAB Test Bench Component Generate vector based test benches for standalone verification FPGA-in-the-Loop 81 Integrate MATLAB Algorithm Development Co-Simulation with MATLAB Test Bench Verify HDL against high-level MATLAB design MATLAB HDL Simulator Component Replace a Broken or un-finished i block in a full HDL test bench with a working high level component Test alternate algorithms for system trade-off without developing HDL 82 38

39 Harris Accelerates Verification of Signal Processing FPGAs Challenge Streamline a time-consuming manual process for testing signal processing FPGA implementation Solution Use EDA Simulator Link to verify the HDL design from within MATLAB Results Functional verification time cut by more than 85% 100% of planned test cases completed Design implemented defect-free Link to user story Harris FPGA-based system. EDA Simulator Link enabled us to greatly reduce functional verification development time by providing a direct cosimulation interface between our MATLAB model and our logic simulator. As a result, we verified our design earlier, identified problems faster, completed more tests, and compressed our entire development cycle. Jason Plew Harris Corporation 83 Collaborate with Other Design Teams Test Benches for Standalone Verification Compile and simulation scripts are provided Automatically generate self-checking test benches Can be used in any HDL Simulator 84 39

40 Challenges: Testing algorithms on real hardware Motivation: building confidence But interfaces with peripherals p & rest of the system needed Difficult to construct testbenches in real hardware Demo: Re-Use System Level test bench 86 FPGA-in-the-Loop verification Digital Down Converter Integration with FPGA development boards Automatic creation of FPGA-in-the-Loop verification models 87 40

41 FPGA-in-the-Loop verification Digital Down Converter Flexible testbench creation in Simulink Re-use system level test bench for FPGA verification Building confidence that the design works on real hardware 88 Summary: Verification Integration of FPGA development tools enhances verification Improved analysis, flexible testbench creation (multi domain, feedback loops) Integration with HDL verification Integration with FPGA verification Automation gives shorter iteration cyclescles Automatically generated verification models for: HDL Co-Simulation FPGA-in-the-Loop Wizards for legacy HDL code 89 41

42 From Algorithm to FPGA Implementation MATLAB and Simulink Algorithm and System Design Model Refinement for Hardware EDA Simulator Link Simulink HDL Coder ModelSim RTL Creation HDL Co-Simulation Behavioral Simulation Back Annotation Implement Design Synthesis Map Place & Route Verification Functional Simulation Static Timing Analysis Timing Simulation EDA Simulator Link FPGA-in-the-Loop 90 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 91 42

43 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 92 Agenda 9:45 Welcome 10:00 Reduce FPGA Development Time with Model-Based Design 11:00 Break 11:15 Integrated HDL Verification 12:00 Xilinx Target-optimized FPGA Design Using MATLAB and Simulink 13:15 Lunch 14:15 FPGA Design Optimization Techniques 15:45 Q&A, Summary and Wrap-up 93 43

44 Things to remember. Algorithm Development DESIGN MATLAB Simulink Stateflow Use Model-Based Design to provide an integrated workflow Speed up algorithm development with a unified design environment Automate manual steps in FPGA implementation to enable shorter iteration cycles Integrate FPGA development tools to reduce verification time 94 ROI: Customer Adoption Of Model-Based Design Time spent on FPGA/ASIC implementation Shorter implementation time by 48% (total project 33%) Reduced FPGA prototype development schedule by 47% Shorter design iteration cycle by 80% 1 st FPGA Prototype 2 nd FPGA Prototype 1 st FPGA Prototype 95 44

45 How to adopt MathWorks technologies? MathWorks tools provide a technology to speed up development MathWorks services provide the support to roll out this technology in your organization 96 Example MathWorks Services MathWorks Training Private training Simulink HDL Coder Public training Signal Processing with MATLAB/Simulink Fundamental trainings for uniform knowledge, quick ramp up MathWorks Consulting Jumpstart service to get you up and running quickly with Simulink HDL Coder Advisory service for ongoing expert advice during technology adoption Based on industry experience, assistance with tailoring workflow On site expert customization / optimization of your workflow Technical Support Comprehensive, product-specific Web support resources 70% cases solved within 24 hours Included in Software Maintenance Service 97 45

46 Were Your Expectations Met? Please complete and return seminar survey forms Your comments and feedback are very important to us 99 Next Steps 1. Visit for more information 2. Visit for more information 3. Watch our FPGA webinars: / t / 4. Contact your local sales reps for a trial of our FPGA tools Questions?

Product Development Flow Including Model- Based Design and System-Level Functional Verification

Product Development Flow Including Model- Based Design and System-Level Functional Verification Product Development Flow Including Model- Based Design and System-Level Functional Verification 2006 The MathWorks, Inc. Ascension Vizinho-Coutry, avizinho@mathworks.fr Agenda Introduction to Model-Based-Design

More information

Credit Risk Modeling with MATLAB

Credit Risk Modeling with MATLAB Credit Risk Modeling with MATLAB Martin Demel, Application Engineer 95% VaR: $798232. 95% CVaR: $1336167. AAA 93.68% 5.55% 0.59% 0.18% AA 2.44% 92.60% 4.03% 0.73% 0.15% 0.06% -1 0 1 2 3 4 A5 0.14% 6 4.18%

More information

Embedded Vision on FPGAs. 2015 The MathWorks, Inc. 1

Embedded Vision on FPGAs. 2015 The MathWorks, Inc. 1 Embedded Vision on FPGAs 2015 The MathWorks, Inc. 1 Enhanced Edge Detection in MATLAB Test bench Read Image from File Add noise Frame To Pixel Median Filter Edge Detect Pixel To Frame Video Display Design

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

7a. System-on-chip design and prototyping platforms

7a. System-on-chip design and prototyping platforms 7a. System-on-chip design and prototyping platforms Labros Bisdounis, Ph.D. Department of Computer and Communication Engineering 1 What is System-on-Chip (SoC)? System-on-chip is an integrated circuit

More information

9/14/2011 14.9.2011 8:38

9/14/2011 14.9.2011 8:38 Algorithms and Implementation Platforms for Wireless Communications TLT-9706/ TKT-9636 (Seminar Course) BASICS OF FIELD PROGRAMMABLE GATE ARRAYS Waqar Hussain firstname.lastname@tut.fi Department of Computer

More information

Model-based system-on-chip design on Altera and Xilinx platforms

Model-based system-on-chip design on Altera and Xilinx platforms CO-DEVELOPMENT MANUFACTURING INNOVATION & SUPPORT Model-based system-on-chip design on Altera and Xilinx platforms Ronald Grootelaar, System Architect RJA.Grootelaar@3t.nl Agenda 3T Company profile Technology

More information

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and

Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Eli Levi Eli Levi holds B.Sc.EE from the Technion.Working as field application engineer for Systematics, Specializing in HDL design with MATLAB and Simulink targeting ASIC/FGPA. Previously Worked as logic

More information

High-Level Synthesis for FPGA Designs

High-Level Synthesis for FPGA Designs High-Level Synthesis for FPGA Designs BRINGING BRINGING YOU YOU THE THE NEXT NEXT LEVEL LEVEL IN IN EMBEDDED EMBEDDED DEVELOPMENT DEVELOPMENT Frank de Bont Trainer consultant Cereslaan 10b 5384 VT Heesch

More information

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001

Agenda. Michele Taliercio, Il circuito Integrato, Novembre 2001 Agenda Introduzione Il mercato Dal circuito integrato al System on a Chip (SoC) La progettazione di un SoC La tecnologia Una fabbrica di circuiti integrati 28 How to handle complexity G The engineering

More information

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur

Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur Echtzeittesten mit MathWorks leicht gemacht Simulink Real-Time Tobias Kuschmider Applikationsingenieur 2015 The MathWorks, Inc. 1 Model-Based Design Continuous Verification and Validation Requirements

More information

Introduction to MATLAB Gergely Somlay Application Engineer gergely.somlay@gamax.hu

Introduction to MATLAB Gergely Somlay Application Engineer gergely.somlay@gamax.hu Introduction to MATLAB Gergely Somlay Application Engineer gergely.somlay@gamax.hu 2012 The MathWorks, Inc. 1 What is MATLAB? High-level language Interactive development environment Used for: Numerical

More information

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com

Best Practises for LabVIEW FPGA Design Flow. uk.ni.com ireland.ni.com Best Practises for LabVIEW FPGA Design Flow 1 Agenda Overall Application Design Flow Host, Real-Time and FPGA LabVIEW FPGA Architecture Development FPGA Design Flow Common FPGA Architectures Testing and

More information

Integrating MATLAB into your C/C++ Product Development Workflow Andy Thé Product Marketing Image Processing Applications

Integrating MATLAB into your C/C++ Product Development Workflow Andy Thé Product Marketing Image Processing Applications Integrating MATLAB into your C/C++ Product Development Workflow Andy Thé Product Marketing Image Processing Applications 2015 The MathWorks, Inc. 1 Typical Development Workflow Translating MATLAB to C/C++

More information

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah

Digitale Signalverarbeitung mit FPGA (DSF) Soft Core Prozessor NIOS II Stand Mai 2007. Jens Onno Krah (DSF) Soft Core Prozessor NIOS II Stand Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de NIOS II 1 1 What is Nios II? Altera s Second Generation

More information

Model Based System Engineering (MBSE) For Accelerating Software Development Cycle

Model Based System Engineering (MBSE) For Accelerating Software Development Cycle Model Based System Engineering (MBSE) For Accelerating Software Development Cycle Manish Patil Sujith Annamaneni September 2015 1 Contents 1. Abstract... 3 2. MBSE Overview... 4 3. MBSE Development Cycle...

More information

Extending the Power of FPGAs. Salil Raje, Xilinx

Extending the Power of FPGAs. Salil Raje, Xilinx Extending the Power of FPGAs Salil Raje, Xilinx Extending the Power of FPGAs The Journey has Begun Salil Raje Xilinx Corporate Vice President Software and IP Products Development Agenda The Evolution of

More information

Schnell und effizient durch Automatische Codegenerierung

Schnell und effizient durch Automatische Codegenerierung Schnell und effizient durch Automatische Codegenerierung Andreas Uschold MathWorks 2015 The MathWorks, Inc. 1 ITK Engineering Develops IEC 62304 Compliant Controller for Dental Drill Motor with Model-Based

More information

Codesign: The World Of Practice

Codesign: The World Of Practice Codesign: The World Of Practice D. Sreenivasa Rao Senior Manager, System Level Integration Group Analog Devices Inc. May 2007 Analog Devices Inc. ADI is focused on high-end signal processing chips and

More information

Converting Models from Floating Point to Fixed Point for Production Code Generation

Converting Models from Floating Point to Fixed Point for Production Code Generation MATLAB Digest Converting Models from Floating Point to Fixed Point for Production Code Generation By Bill Chou and Tom Erkkinen An essential step in embedded software development, floating- to fixed-point

More information

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology

VON BRAUN LABS. Issue #1 WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS VON BRAUN LABS. State Machine Technology VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM Issue #1 VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS ULTRA LOW POWER STATE MACHINE SOLUTIONS State Machine Technology IoT Solutions Learn

More information

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation

ESP-CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Datasheet -CV Custom Design Formal Equivalence Checking Based on Symbolic Simulation Overview -CV is an equivalence checker for full custom designs. It enables efficient comparison of a reference design

More information

What is a System on a Chip?

What is a System on a Chip? What is a System on a Chip? Integration of a complete system, that until recently consisted of multiple ICs, onto a single IC. CPU PCI DSP SRAM ROM MPEG SoC DRAM System Chips Why? Characteristics: Complex

More information

Bringing Big Data Modelling into the Hands of Domain Experts

Bringing Big Data Modelling into the Hands of Domain Experts Bringing Big Data Modelling into the Hands of Domain Experts David Willingham Senior Application Engineer MathWorks david.willingham@mathworks.com.au 2015 The MathWorks, Inc. 1 Data is the sword of the

More information

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed

HARDWARE ACCELERATION IN FINANCIAL MARKETS. A step change in speed HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed NAME OF REPORT SECTION 3 HARDWARE ACCELERATION IN FINANCIAL MARKETS A step change in speed Faster is more profitable in the front office

More information

Architectures and Platforms

Architectures and Platforms Hardware/Software Codesign Arch&Platf. - 1 Architectures and Platforms 1. Architecture Selection: The Basic Trade-Offs 2. General Purpose vs. Application-Specific Processors 3. Processor Specialisation

More information

Software Development with Real- Time Workshop Embedded Coder Nigel Holliday Thales Missile Electronics. Missile Electronics

Software Development with Real- Time Workshop Embedded Coder Nigel Holliday Thales Missile Electronics. Missile Electronics Software Development with Real- Time Workshop Embedded Coder Nigel Holliday Thales 2 Contents Who are we, where are we, what do we do Why do we want to use Model-Based Design Our Approach to Model-Based

More information

Go Faster - Preprocessing Using FPGA, CPU, GPU. Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING

Go Faster - Preprocessing Using FPGA, CPU, GPU. Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING Go Faster - Preprocessing Using FPGA, CPU, GPU Dipl.-Ing. (FH) Bjoern Rudde Image Acquisition Development STEMMER IMAGING WHO ARE STEMMER IMAGING? STEMMER IMAGING is: Europe's leading independent provider

More information

Digital Systems Design! Lecture 1 - Introduction!!

Digital Systems Design! Lecture 1 - Introduction!! ECE 3401! Digital Systems Design! Lecture 1 - Introduction!! Course Basics Classes: Tu/Th 11-12:15, ITE 127 Instructor Mohammad Tehranipoor Office hours: T 1-2pm, or upon appointments @ ITE 441 Email:

More information

Non-Data Aided Carrier Offset Compensation for SDR Implementation

Non-Data Aided Carrier Offset Compensation for SDR Implementation Non-Data Aided Carrier Offset Compensation for SDR Implementation Anders Riis Jensen 1, Niels Terp Kjeldgaard Jørgensen 1 Kim Laugesen 1, Yannick Le Moullec 1,2 1 Department of Electronic Systems, 2 Center

More information

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013

Introduction to Xilinx System Generator Part II. Evan Everett and Michael Wu ELEC 433 - Spring 2013 Introduction to Xilinx System Generator Part II Evan Everett and Michael Wu ELEC 433 - Spring 2013 Outline Introduction to FPGAs and Xilinx System Generator System Generator basics Fixed point data representation

More information

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers.

Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Electronic system-level development: Finding the right mix of solutions for the right mix of engineers. Nowadays, System Engineers are placed in the centre of two antagonist flows: microelectronic systems

More information

Entwicklung und Testen von Robotischen Anwendungen mit MATLAB und Simulink Maximilian Apfelbeck, MathWorks

Entwicklung und Testen von Robotischen Anwendungen mit MATLAB und Simulink Maximilian Apfelbeck, MathWorks Entwicklung und Testen von Robotischen Anwendungen mit MATLAB und Simulink Maximilian Apfelbeck, MathWorks 2015 The MathWorks, Inc. 1 Robot Teleoperation IMU IMU V, W Control Device ROS-Node Turtlebot

More information

Rapid System Prototyping with FPGAs

Rapid System Prototyping with FPGAs Rapid System Prototyping with FPGAs By R.C. Coferand Benjamin F. Harding AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO Newnes is an imprint of

More information

Software Development Principles Applied to Graphical Model Development

Software Development Principles Applied to Graphical Model Development Software Development Principles Applied to Graphical Model Development Paul A. Barnard * The MathWorks, Natick, MA 01760, USA The four fundamental principles of good software design communicate clearly,

More information

Best Practices for Adopting Model-Based Design in Electronic System Development

Best Practices for Adopting Model-Based Design in Electronic System Development Gabe on EDA A consulting organization serving the EDA industry Best Practices for Adopting Model-Based Design in Electronic System Development By Gabe Moretti www.gabeoneda.com About the author Gabe Moretti

More information

FPGA Prototyping Primer

FPGA Prototyping Primer FPGA Prototyping Primer S2C Inc. 1735 Technology Drive, Suite 620 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 213 8821 www.s2cinc.com What is FPGA prototyping? FPGA prototyping is the methodology

More information

X-Series Signal Analysis. Future-ready instruments Consistent measurement framework Broadest set of applications and software

X-Series Signal Analysis. Future-ready instruments Consistent measurement framework Broadest set of applications and software X-Series Signal Analysis Future-ready instruments Consistent measurement framework Broadest set of applications and software Arrive Ahead with X-Series We can t predict the future, but Agilent can help

More information

Agilent MATLAB Data Analysis Software Packages for Agilent Oscilloscopes

Agilent MATLAB Data Analysis Software Packages for Agilent Oscilloscopes Agilent MATLAB Data Analysis Software Packages for Agilent Oscilloscopes Data Sheet Enhance your InfiniiVision or Infiniium oscilloscope with the analysis power of MATLAB software Develop custom analysis

More information

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP

Department of Electrical and Computer Engineering Ben-Gurion University of the Negev. LAB 1 - Introduction to USRP Department of Electrical and Computer Engineering Ben-Gurion University of the Negev LAB 1 - Introduction to USRP - 1-1 Introduction In this lab you will use software reconfigurable RF hardware from National

More information

81110A Pulse Pattern Generator Simulating Distorted Signals for Tolerance Testing

81110A Pulse Pattern Generator Simulating Distorted Signals for Tolerance Testing 81110A Pulse Pattern Generator Simulating Distorted Signals for Tolerance Testing Application Note Introduction Industry sectors including computer and components, aerospace defense and education all require

More information

AN3998 Application note

AN3998 Application note Application note PDM audio software decoding on STM32 microcontrollers 1 Introduction This application note presents the algorithms and architecture of an optimized software implementation for PDM signal

More information

VHDL Test Bench Tutorial

VHDL Test Bench Tutorial University of Pennsylvania Department of Electrical and Systems Engineering ESE171 - Digital Design Laboratory VHDL Test Bench Tutorial Purpose The goal of this tutorial is to demonstrate how to automate

More information

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut.

System-on. on-chip Design Flow. Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems. jouni.tomberg@tut. System-on on-chip Design Flow Prof. Jouni Tomberg Tampere University of Technology Institute of Digital and Computer Systems jouni.tomberg@tut.fi 26.03.2003 Jouni Tomberg / TUT 1 SoC - How and with whom?

More information

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule

All Programmable Logic. Hans-Joachim Gelke Institute of Embedded Systems. Zürcher Fachhochschule All Programmable Logic Hans-Joachim Gelke Institute of Embedded Systems Institute of Embedded Systems 31 Assistants 10 Professors 7 Technical Employees 2 Secretaries www.ines.zhaw.ch Research: Education:

More information

Introduction to the Latest Tensilica Baseband Solutions

Introduction to the Latest Tensilica Baseband Solutions Introduction to the Latest Tensilica Baseband Solutions Dr. Chris Rowen Founder and Chief Technology Officer Tensilica Inc. Outline The Mobile Wireless Challenge Multi-standard Baseband Tensilica Fits

More information

Best Practices for Verification, Validation, and Test in Model- Based Design

Best Practices for Verification, Validation, and Test in Model- Based Design 2008-01-1469 Best Practices for Verification, Validation, and in Model- Based Design Copyright 2008 The MathWorks, Inc. Brett Murphy, Amory Wakefield, and Jon Friedman The MathWorks, Inc. ABSTRACT Model-Based

More information

From Bits to Antenna to RF: Wireless System Design with MATLAB

From Bits to Antenna to RF: Wireless System Design with MATLAB From Bits to Antenna to RF: Wireless System Design with MATLAB Houman Zarrinkoub, PhD. Signal Processing & Communications MathWorks houmanz@mathworks.com 2014 The MathWorks, Inc. 1 Agenda Landscape of

More information

FPGAs for High-Performance DSP Applications

FPGAs for High-Performance DSP Applications White Paper FPGAs for High-Performance DSP Applications This white paper compares the performance of DSP applications in Altera FPGAs with popular DSP processors as well as competitive FPGA offerings.

More information

Power inverters: Efficient energy transformation through efficient TargetLink code

Power inverters: Efficient energy transformation through efficient TargetLink code Page 6 santerno Power inverters: Efficient energy transformation through efficient TargetLink code Upva page 7 lue Energy Every day, the amount of energy delivered by the sun is 15,000 times the current

More information

Why Adopt Model-Based Design for Embedded Control Software Development?

Why Adopt Model-Based Design for Embedded Control Software Development? Why Adopt Model-Based Design for Embedded Control Software Development? As requirements for increased product performance are driving up design complexity, embedded software is increasingly becoming the

More information

SMART CAMERA VISION SYSTEMS The new approach to track and trace. White Paper

SMART CAMERA VISION SYSTEMS The new approach to track and trace. White Paper SMART CAMERA VISION SYSTEMS The new approach to track and trace White Paper As pharmaceutical manufacturers confront increased margin pressure in the coming years, they will look for new ways to lower

More information

Data Analysis with MATLAB. 2013 The MathWorks, Inc. 1

Data Analysis with MATLAB. 2013 The MathWorks, Inc. 1 Data Analysis with MATLAB 2013 The MathWorks, Inc. 1 Agenda Introduction Data analysis with MATLAB and Excel Break Developing applications with MATLAB Solving larger problems Summary 2 Modeling the Solar

More information

A Zebra Technologies White Paper. Bar Code Printing from Oracle WMS and MSCA

A Zebra Technologies White Paper. Bar Code Printing from Oracle WMS and MSCA A Zebra Technologies White Paper Bar Code Printing from Oracle WMS and MSCA 2 A Zebra Technologies White Paper Executive Summary Bar code output from the Oracle E-Business Suite environment is traditionally

More information

Fastest Path to Your Design. Quartus Prime Software Key Benefits

Fastest Path to Your Design. Quartus Prime Software Key Benefits Q UA R T U S P R I M E D E S I G N S O F T WA R E Fastest Path to Your Design Quartus Prime software is number one in performance and productivity for FPGA, CPLD, and SoC designs, providing the fastest

More information

Development of AUTOSAR Software Components within Model-Based Design

Development of AUTOSAR Software Components within Model-Based Design 2008-01-0383 Development of AUTOSAR Software Components within Model-Based Design Copyright 2008 The MathWorks, Inc. Guido Sandmann Automotive Marketing Manager, EMEA The MathWorks Richard Thompson Senior

More information

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS

LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS LEVERAGING FPGA AND CPLD DIGITAL LOGIC TO IMPLEMENT ANALOG TO DIGITAL CONVERTERS March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

802.11ac Power Measurement and Timing Analysis

802.11ac Power Measurement and Timing Analysis 802.11ac Power Measurement and Timing Analysis Using the 8990B Peak Power Analyzer Application Note Introduction There are a number of challenges to anticipate when testing WLAN 802.11ac [1] power amplifier

More information

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters.

DDS. 16-bit Direct Digital Synthesizer / Periodic waveform generator Rev. 1.4. Key Design Features. Block Diagram. Generic Parameters. Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core 16-bit signed output samples 32-bit phase accumulator (tuning word) 32-bit phase shift feature Phase resolution of 2π/2

More information

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications

GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications GEDAE TM - A Graphical Programming and Autocode Generation Tool for Signal Processor Applications Harris Z. Zebrowitz Lockheed Martin Advanced Technology Laboratories 1 Federal Street Camden, NJ 08102

More information

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: "Embedded Systems - ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 7: SYSTEM-ON. SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY. Chapter-1L07: Embedded Systems - , Raj Kamal, Publs.: McGraw-Hill Education Lesson 7: SYSTEM-ON ON-CHIP (SoC( SoC) AND USE OF VLSI CIRCUIT DESIGN TECHNOLOGY 1 VLSI chip Integration of high-level components Possess gate-level sophistication in circuits above that of the counter,

More information

Time-Correlated Multi-domain RF Analysis with the MSO70000 Series Oscilloscope and SignalVu Software

Time-Correlated Multi-domain RF Analysis with the MSO70000 Series Oscilloscope and SignalVu Software Time-Correlated Multi-domain RF Analysis with the MSO70000 Series Oscilloscope and SignalVu Software Technical Brief Introduction The MSO70000 Series Mixed Oscilloscope, when coupled with SignalVu Spectrum

More information

Float to Fix conversion

Float to Fix conversion www.thalesgroup.com Float to Fix conversion Fabrice Lemonnier Research & Technology 2 / Thales Research & Technology : Research center of Thales Objective: to propose technological breakthrough for the

More information

Implementation Details

Implementation Details LEON3-FT Processor System Scan-I/F FT FT Add-on Add-on 2 2 kbyte kbyte I- I- Cache Cache Scan Scan Test Test UART UART 0 0 UART UART 1 1 Serial 0 Serial 1 EJTAG LEON_3FT LEON_3FT Core Core 8 Reg. Windows

More information

Introduction to Functional Verification. Niels Burkhardt

Introduction to Functional Verification. Niels Burkhardt Introduction to Functional Verification Overview Verification issues Verification technologies Verification approaches Universal Verification Methodology Conclusion Functional Verification issues Hardware

More information

MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors

MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors MATLAB/Simulink Based Hardware/Software Co-Simulation for Designing Using FPGA Configured Soft Processors Jingzhao Ou and Viktor K. Prasanna Department of Electrical Engineering, University of Southern

More information

How To Build A Trading Engine In A Microsoft Microsoft Matlab 2.5.2.2 (A Trading Engine)

How To Build A Trading Engine In A Microsoft Microsoft Matlab 2.5.2.2 (A Trading Engine) Algorithmic Trading with MATLAB Martin Demel, Application Engineer 2011 The MathWorks, Inc. 1 Challenges when building trading strategies Increasing complexity More data More complicated models Increasing

More information

High-Level Synthesis Tools for Xilinx FPGAs

High-Level Synthesis Tools for Xilinx FPGAs TM An Independent Evaluation of: High-Level Synthesis Tools for Xilinx FPGAs By the staff of Berkeley Design Technology, Inc Executive Summary In 2009, Berkeley Design Technology Inc. (BDTI), an independent

More information

Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal

Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal Wireless Communication and RF System Design Using MATLAB and Simulink Giorgia Zucchelli Technical Marketing RF & Mixed-Signal 2013 The MathWorks, Inc. 1 Outline of Today s Presentation Introduction to

More information

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture.

LMS is a simple but powerful algorithm and can be implemented to take advantage of the Lattice FPGA architecture. February 2012 Introduction Reference Design RD1031 Adaptive algorithms have become a mainstay in DSP. They are used in wide ranging applications including wireless channel estimation, radar guidance systems,

More information

Introduction to Simulink & Stateflow. Coorous Mohtadi

Introduction to Simulink & Stateflow. Coorous Mohtadi Introduction to Simulink & Stateflow Coorous Mohtadi 1 Key Message Simulink and Stateflow provide: A powerful environment for modelling real processes... and are fully integrated with the MATLAB environment.

More information

FSMD and Gezel. Jan Madsen

FSMD and Gezel. Jan Madsen FSMD and Gezel Jan Madsen Informatics and Mathematical Modeling Technical University of Denmark Richard Petersens Plads, Building 321 DK2800 Lyngby, Denmark jan@imm.dtu.dk Processors Pentium IV General-purpose

More information

Software-Programmable FPGA IoT Platform. Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016

Software-Programmable FPGA IoT Platform. Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016 Software-Programmable FPGA IoT Platform Kam Chuen Mak (Lattice Semiconductor) Andrew Canis (LegUp Computing) July 13, 2016 Agenda Introduction Who we are IoT Platform in FPGA Lattice s IoT Vision IoT Platform

More information

Chapter 13: Verification

Chapter 13: Verification Chapter 13: Verification Prof. Ming-Bo Lin Department of Electronic Engineering National Taiwan University of Science and Technology Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008-2010,

More information

What s New in MATLAB and Simulink

What s New in MATLAB and Simulink What s New in MATLAB and Simulink Kevin Cohan Product Marketing, MATLAB Michael Carone Product Marketing, Simulink 2015 The MathWorks, Inc. 1 What was new for Simulink in R2012b? 2 What Was New for MATLAB

More information

A Computer Vision System on a Chip: a case study from the automotive domain

A Computer Vision System on a Chip: a case study from the automotive domain A Computer Vision System on a Chip: a case study from the automotive domain Gideon P. Stein Elchanan Rushinek Gaby Hayun Amnon Shashua Mobileye Vision Technologies Ltd. Hebrew University Jerusalem, Israel

More information

In Technology, the Key to Success is Delivering What s Next. First.

In Technology, the Key to Success is Delivering What s Next. First. In Technology, the Key to Success is Delivering What s Next. First. Page Our Role is to Help You Get to Market Faster The experience to help you create. Innovate. And deliver what s next Keysight is a

More information

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH

BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH WHITE PAPER METRIC-DRIVEN VERIFICATION ENSURES SOFTWARE DEVELOPMENT QUALITY BY STEVE BROWN, CADENCE DESIGN SYSTEMS AND MICHEL GENARD, VIRTUTECH INTRODUCTION The complexity of electronic systems is rapidly

More information

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik

Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen. Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Architekturen und Einsatz von FPGAs mit integrierten Prozessor Kernen Hans-Joachim Gelke Institute of Embedded Systems Professur für Mikroelektronik Contents Überblick: Aufbau moderner FPGA Einblick: Eigenschaften

More information

PowerPlay Power Analysis & Optimization Technology

PowerPlay Power Analysis & Optimization Technology Quartus II Software Questions & Answers Following are the most frequently asked questions about the new features in Altera s Quartus II design software. PowerPlay Power Analysis & Optimization Technology

More information

LogiCORE IP AXI Performance Monitor v2.00.a

LogiCORE IP AXI Performance Monitor v2.00.a LogiCORE IP AXI Performance Monitor v2.00.a Product Guide Table of Contents IP Facts Chapter 1: Overview Target Technology................................................................. 9 Applications......................................................................

More information

Design Cycle for Microprocessors

Design Cycle for Microprocessors Cycle for Microprocessors Raúl Martínez Intel Barcelona Research Center Cursos de Verano 2010 UCLM Intel Corporation, 2010 Agenda Introduction plan Architecture Microarchitecture Logic Silicon ramp Types

More information

Machine Learning with MATLAB David Willingham Application Engineer

Machine Learning with MATLAB David Willingham Application Engineer Machine Learning with MATLAB David Willingham Application Engineer 2014 The MathWorks, Inc. 1 Goals Overview of machine learning Machine learning models & techniques available in MATLAB Streamlining the

More information

Digital Design Verification

Digital Design Verification Digital Design Verification Course Instructor: Debdeep Mukhopadhyay Dept of Computer Sc. and Engg. Indian Institute of Technology Madras, Even Semester Course No: CS 676 1 Verification??? What is meant

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

FPGAs in Next Generation Wireless Networks

FPGAs in Next Generation Wireless Networks FPGAs in Next Generation Wireless Networks March 2010 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 FPGAs in Next Generation

More information

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360

Agilent Technologies. Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Agilent Technologies Generating Custom, Real-World Waveforms Integrating Test Instrumentation into the Design Process Application Note 1360 Table of Contents Introduction...............................................................................3

More information

credits Programming with actors Dave B. Parlour Xilinx Research Labs Thomas A. Lenart Lund University Robert Esser

credits Programming with actors Dave B. Parlour Xilinx Research Labs Thomas A. Lenart Lund University Robert Esser Programming with actors Jörn W. Janneck credits Dave B. Parlour Thomas A. Lenart Lund University Robert Esser University of Adelaide Ptolemy Miniconference VI, 2005-05-12-2 The FPGA Platform: Huge amounts

More information

Applying 4+1 View Architecture with UML 2. White Paper

Applying 4+1 View Architecture with UML 2. White Paper Applying 4+1 View Architecture with UML 2 White Paper Copyright 2007 FCGSS, all rights reserved. www.fcgss.com Introduction Unified Modeling Language (UML) has been available since 1997, and UML 2 was

More information

Analog Devices RadioVerse technology: Simpler wireless system design

Analog Devices RadioVerse technology: Simpler wireless system design Analog Devices RadioVerse technology: Simpler wireless system design Steve Taranovich - May 23, 2016 If you are a design engineer interested in ways to accelerate your development cycle for Wireless Radio

More information

Testing WiMAX receiver performance in a multipath propagation environment using Agilent s E6651A with an EB Propsim C8 radio channel emulator

Testing WiMAX receiver performance in a multipath propagation environment using Agilent s E6651A with an EB Propsim C8 radio channel emulator Testing WiMAX receiver performance in a multipath propagation environment using Agilent s E6651A with an EB Propsim C8 radio channel emulator Application Note 1 Summary Introduction As a part of the certification

More information

DS1104 R&D Controller Board

DS1104 R&D Controller Board DS1104 R&D Controller Board Cost-effective system for controller development Highlights Single-board system with real-time hardware and comprehensive I/O Cost-effective PCI hardware for use in PCs Application

More information

SEE HOW 15 DIFFERENT ORGANIZATIONS DELIVER SUCCESS WITH BORLAND

SEE HOW 15 DIFFERENT ORGANIZATIONS DELIVER SUCCESS WITH BORLAND SUCCESSFUL SOFTWARE DELIVERY 20140516 SEE HOW 15 DIFFERENT ORGANIZATIONS DELIVER SUCCESS WITH BORLAND At Borland our sole mission is to optimize enterprise software delivery across all industries and geographies.

More information

Custom design services

Custom design services Custom design services Your partner for electronic design services and solutions Barco Silex, Barco s center of competence for micro-electronic design, has established a solid reputation in the development

More information

SDLC Controller. Documentation. Design File Formats. Verification

SDLC Controller. Documentation. Design File Formats. Verification January 15, 2004 Product Specification 11 Stonewall Court Woodcliff Lake, NJ 07677 USA Phone: +1-201-391-8300 Fax: +1-201-391-8694 E-mail: info@cast-inc.com URL: www.cast-inc.com Features AllianceCORE

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Prof. David Lariviere Columbia University Spring 2014 Overview What are IP Cores? Altera Design Tools for using and integrating IP Cores Overview of various IP Core Interconnect

More information

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1

Quartus II Software Design Series : Foundation. Digitale Signalverarbeitung mit FPGA. Digitale Signalverarbeitung mit FPGA (DSF) Quartus II 1 (DSF) Quartus II Stand: Mai 2007 Jens Onno Krah Cologne University of Applied Sciences www.fh-koeln.de jens_onno.krah@fh-koeln.de Quartus II 1 Quartus II Software Design Series : Foundation 2007 Altera

More information

(!' ) "' # "*# "!(!' +,

(!' ) ' # *# !(!' +, ( Controls Signal Processing Telecommunications Network and processor modeling and simulation http://www.mathworks.com/academia/classroom-resources/departments/electrical-computerengineering.html ( MATLAB

More information

Agilent Automotive Power Window Regulator Testing. Application Note

Agilent Automotive Power Window Regulator Testing. Application Note Agilent Automotive Power Window Regulator Testing Application Note Abstract Automotive power window regulator tests require the use of accurate data acquisition devices, as they cover a wide range of parameters

More information

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic

Aims and Objectives. E 3.05 Digital System Design. Course Syllabus. Course Syllabus (1) Programmable Logic Aims and Objectives E 3.05 Digital System Design Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk How to go

More information