Memory Trends and Implications for Lithography and DSA Technology

Size: px
Start display at page:

Download "Memory Trends and Implications for Lithography and DSA Technology"

Transcription

1 Memory Trends and Implications for Lithography and DSA Technology Linda K. Somerville, Ardavan Niroomand, Pierre Fazan 1

2 Agenda About Micron & Memory Market Trends and Challenges in Memory Innovation Patterning with DSA: Challenges and Opportunities 2

3 $M 20-years of Growth $18,000 and we believe strongly in the future $16,000 $14,000 Micron acquires Elpida and Rexchip Inotera JV restructure $12,000 Micron acquires Lexar Media Micron acquires Numonyx IMFT & IMFS restructuring $10,000 $8,000 Micron acquires TI s memory operations Micron acquires Toshiba s commodity DRAM operations Micron and Intel form IMFT Micron and Nanya form DRAM Joint venture $6,000 $4,000 $2,000 Micron and Intel form IMFS $0 FY-95 FY-96 FY-97 FY-98 FY-99 FY-00 FY-01 FY-02 FY-03 FY-04 FY-05 FY-06 FY-07 FY-08 FY-09 FY-10 FY-11 FY-12 FY-13 FY-14 Micron s Historical Performance and Revenue 3

4 Micron Around the World Manufacturing 34% FAB 2 Lehi FAB 6 66% Virginia FAB 15 Japan FAB 11 Taiwan FAB 16 Taiwan DRAM NAND NOR FAB 10 Singapore 19% FAB 13 4

5 R&D Around the World One R&D Team United States Japan Singapore Milpitas & Folsom, CA: Emerging memory design, product engineering Boise, ID: NAND, emerging memory, DRAM, package R&D, mask technology Hiroshima: DRAM Hashimoto: Emerging memory design, product engineering, package R&D, NAND device Akita: Package R&D Italy India Belgium Singapore: NAND, STTRAM, package R&D Vimercate: Emerging memory, NAND Bangalore: Live die and test structure design/ layout, device analysis Leuven: IMEC core partner program 5

6 Semiconductor Revenue ($B) Memory Revenue ($B) Micron s Markets Continue to Grow Inflation Adjusted Market TAMs (2014 Dollars) $400 $80 $300 $60 Real Semi Revenue $200 $40 Real DRAM Revenue $100 $20 Real NAND Revenue $ $0 Revenues adjusted for inflation, shown in 2014 dollar equivalents. Inflationary adjustments calculated using GDP Implicit Price Deflator. Source: Micron and Industry Analysts 6

7 Growing and Diversifying Memory Demand DRAM Industry Bit Demand (B Gb EU) NAND Industry Bit Demand (B GB EU) Source: Micron and Industry Analysts Handset Tablet AIMM Consumer & Graphics Server/Storage/ Networking PC Other Removable Storage Consumer Handset Tablet Client SSD Datacenter SSD Enterprise SSD Tablets contain a mix of mobile DRAM, standard DRAM, and reduced-power solutions. Upgrade modules included with PC. 7

8 Driving Business to High Value Segments Other Mobile Other Storage SSD Storage Micron acquires Toshiba s commodity DRAM operations Other Embedded AIMM Compute Graphics Server Networking FY H FY15 8

9 Long Term Memory Market Conditions Consolidated Suppliers Suppliers with sufficient scale Return-focused investment and supply environment Low Supply Growth Limited new wafer capacity Slowing technology migrations Diversifying Demand Differentiated products System solutions Diversified customers Broadening applications 9

10 Trends and Challenges in Memory Innovation 10

11 Micron s Portfolio of Innovative Firsts DRAM 6F 2 architecture Leading DRAM capacitor technology Copper metallization Packaging (3Di) Hybrid memory cube, Through Silicon Via (TSV) 0.8mm four-layer LPDRAM package NAND Pitch multiplication patterning Award-winning, industry-leading planar NAND cell technology New Memory Productization of phase change memory Demonstration of 16Gb resistive RAM Development of 3D XPoint memory 11

12 Challenges Faced in Technology Development DRAM NAND New Memory Package Edge yield improvements continuous yield improvement Ramp execution new tool installs and matching, cost of transition Process equipment maturity improvement: uniformity, capability Defect detection and reduction Development of new in-line characterization techniques to prevent excursion, improve quality and yield Development of baseline 3Di technology Development of first 3Di products Continuous innovation in core process technology capability 12

13 DRAM Roadmap Scaling % YoY Bit Growth Continued technology scaling to increase Gb/cm 2 Past scaling has been dominated by array Increasing challenges with array patterning Parasitics not scaling Pitch cells are also hitting barriers Result: Continuous reduction in bit growth improvements from technology scaling Need significant innovation to enable continuous scaling and improve bit growth 13

14 DRAM Scaling Challenges ARRAY Capacitor structure Paradigm shift may be needed for cost effective scaling Bit line and Word line Materials innovation required Advanced device engineering To address materials/process limitations Overlay and patterning demands May drive EUV adoption Gap fill of narrow structures Need low T solutions Advanced characterization HAR measurements, OCD (bottom of containers) Cell Contact Wtotal 6~7nm Materials development required to improve array efficiency and word line/bit line resistance Technology Node 14

15 NAND Roadmap Scaling Planar NAND scaling Cost/GB Planar NAND can still be scaled below 16nm, but performance & cost are not competitive with 3D 3D NAND scaling 3D NAND cost improvement over planar expands with subsequent nodes 3D cell architecture enables significant performance improvement relative to planar technology GB/cm 2 34nm Future Technology Projection 48-tier TLC 32-tier TLC 64-tier TLC 96-tier TLC 25nm 20nm 16nm 1Znm 1Z'nm 25nm Technology Node Future Cost Projection 20nm MU 32-tier MLC 32-tier TLC Technology Node 3D NAND PLANAR TLC PLANAR TLC 16nm 1Znm 1Z'nm 3D NAND 48-tier TLC 64-tier TLC 96-tier TLC 15

16 Vertical NAND Scaling Challenges Tier Stack Scaling High aspect ratio etch capability Thin film deposition in high AR structures Uniformity WIW, WIF, WID, but also within localized high aspect ratio structures Advanced characterization HAR measurements, OCD, embedded defects and measurements Staircase Contact Scaling Advanced gap fill requirements for range of dimensions Low temperature films deposition and treatment Demanding Planarization Thick film removal, new films, tighter uniformity specifications 16

17 Packaging Technology Challenges Development and deployment of baseline 3Di manufacturing processes Transition from discrete-packaged memory to inpackage memory Thermal management of memory which shares a thermal solution with the ASIC or is inside the ASIC package Control of warpage/coplanarity for ultra-thin dice used in ultra-thin packages Accelerating development cycles, especially for market segments with short product life cycles 17

18 Recent Technology Announcements Floating Gate 3D NAND March D XPoint Non-Volatile Memory July X higher capacity than existing NAND technologies due to smallest cell size Enables >10TB in a standard 2.5 SSD 1000X faster than NAND 1000X endurance of NAND 10X denser than conventional memory 18

19 Future Memory Technology CAPACITY/LATENCY LOW CPU RAM Class SSD DISK CACHE FAST SLOW Storage Memory MEMORY STORAGE HIGH DRAM Emerging Memory NAND Balancing Value: Latency, Endurance, Volatility, Cost DRAM Memory Mapped Storage Mapped NAND Latency 1x 2x 10x 1000x 19 Endurance ~10 15 ~10 13 ~10 7 ~10 3 Non-volatile No No Yes Yes Cost DRAM ~DRAM ~0.2x DRAM NAND

20 The Search for Successful New Memory Technology RRAM +V -V Cu/Ta Top lead STT MRAM Cu, Ag etc,. CoFeB MgO CoFeB Free layer Barrier Fixed Layer MTJ PtMn Antiferromagnet W, TiN etc,. Cu/Ta MTJ Bottom lead PCM FeRAM + Polymers Nanotubes Nanowires Magnetics It s a great time to be a materials engineer! Explosion of new memory concepts Investigation focused on unique material systems and product development 20

21 Patterning with DSA: Challenges and Opportunities 21

22 Impacts of Process Complexity Large increase in number of process steps to enable shrink Conversion capex scales with the number of steps Significant reduction in wafer output per existing cleanroom area Complexity comparison for enablement of ~100% bits/wafer increase 50nm 30nm 30nm 20nm Number of Process Steps +14% +39% Number of non-litho Steps per Critical Mask Level +40% +114% Cleanroom Space per Wafer Out +14% +81% 50nm 30nm 20nm 50nm 30nm 20nm 50nm 30nm 20nm 22

23 Typical 6f 2 DRAM Array Layout DRAM Patterning Challenges Active Area Active area: Smallest pitch, discrete structures Word Line and Digit Line: L/S suitable for SADP or SAQP Periphery: Tight pitch 2D patterning Word Line Digit Line 23

24 Active Area Patterning Dense lines are initially formed by SADP or SAQP Lines are then chopped to create islands DSA has an opportunity to replace SAQP for dense line patterning, when ready and cost competitive Contact chop pattern 1Ynm 1Znm LELE Beyond 1Znm, EUV Option (1Znm and beyond) High NA, EUV, single print 24

25 Storage Node Patterning Storage Node DRAM Patterning Challenges Active Area Active area: Smallest pitch, discrete structures Digit Line Word Line Word Line and Digit Line: L/S suitable for SADP or SAQP Periphery: Tight pitch 2D patterning Storage Node: Dense CH, needs low LCDU 25

26 Storage Node Patterning ArF Immersion EUV DSA CHIPS Flow Main challenge is local CD uniformity CD uniformity needs improvement for single patterning Potential path but need to address LCDU, defects, placement error 26

27 Normalized Cost per step Cost of Ownership and Performance SAQP LiNe SMART EUV Relative COO is a reliable way to judge viability of new technology in industry. These studies assumed maturity. Why we need SIS: Method LER (nm) LiNe 3.2 SMART Study A Study B LiNe + SIS 2.4 SMART + SIS

28 DSA Adoption Challenges Defectivity Needs to improve by a few orders of magnitude Pattern roughness Needs to be comparable or better than SAQP SIS and other post processing may help, but add cost, complexity and potentially defectivity Process/Integration complexity Pattern placement error and overlay issues need to be further studied Cost Integration issues such as termination, edge of array, etch, alignment and overlay marks Cost advantage over SAQP needs to be significant 28

29 Highlights Exciting time for memory: diversifying markets, new applications Trend is 3D and materials innovation Lots of challenges ahead in both silicon processing and package development Winning patterning technologies have to win for performance and cost 29

30 Thank You 30

31 31

Flash Technology Update from Micron and Intel

Flash Technology Update from Micron and Intel Flash Technology Update from Micron and Intel 3D NAND Technology Announcement Brian Shirley, Vice President, Memory and Technology Solutions, Micron Technology Scott DeBoer, Vice President, Research and

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems

Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems Jung H. Yoon, Hillery C. Hunter, Gary A. Tressler

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Flash s Role in Big Data, Past Present, and Future OBJECTIVE ANALYSIS. Jim Handy

Flash s Role in Big Data, Past Present, and Future OBJECTIVE ANALYSIS. Jim Handy Flash s Role in Big Data, Past Present, and Future Jim Handy Tutorial: Fast Storage for Big Data Hot Chips Conference August 25, 2013 Memorial Auditorium Stanford University OBJECTIVE ANALYSIS OBJECTIVE

More information

Driving The Need For Innovative Memory Solutions

Driving The Need For Innovative Memory Solutions Driving The Need For Innovative Memory Solutions Dr. Ronald D. Black President & CEO 3 February 2014 Quote When a management with a reputation for brilliance tackles a business with a reputation for bad

More information

Enabling the Flash-Transformed Data Center

Enabling the Flash-Transformed Data Center Enabling the Flash-Transformed Data Center Brian Cox Senior Director, Marketing, Enterprise Storage Solutions HP APJ Storage Summit 25-26 June 2014 1 Forward-Looking Statements During our meeting today

More information

State-of-the-Art Flash Memory Technology, Looking into the Future

State-of-the-Art Flash Memory Technology, Looking into the Future State-of-the-Art Flash Memory Technology, Looking into the Future April 16 th, 2012 大 島 成 夫 (Jeff Ohshima) Technology Executive Memory Design and Application Engineering Semiconductor and Storage Products

More information

Advances in Flash Memory Technology & System Architecture to Achieve Savings in Data Center Power and TCO

Advances in Flash Memory Technology & System Architecture to Achieve Savings in Data Center Power and TCO Advances in Flash Memory Technology & System Architecture to Achieve Savings in Data Center Power and TCO Dr. John R. Busch Vice President and Senior Fellow October 18, 2013 1 Forward-Looking Statements

More information

Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting

Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting Introduction Big Data Analytics needs: Low latency data access Fast computing Power efficiency Latest

More information

From physics to products

From physics to products From physics to products From MRAM to MLU and beyond memory Magnetic Random Access Memory Magnetic Logic Unit Lucien Lombard Crocus-Technology Overview 1 - The semiconductor industry 2 - Crocus-Technology

More information

An Analysis Of Flash And HDD Technology Trends

An Analysis Of Flash And HDD Technology Trends An Analysis Of Flash And HDD Technology Trends Edward Grochowski EdwGrochowski@aol.com Computer Storage Consultant San Jose, CA 95120 Robert E. Fontana, Jr. rfontan@us.ibm.com Almaden Research Center IBM

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

How To Make Money From Semiconductor Production

How To Make Money From Semiconductor Production ASML 2011 Third Quarter Results Confirming expectation for record sales year Oct 12, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the

More information

This page is a hidden page. To keep from printing this page, uncheck the checkbox for printing invisible pages in the printing dialog box.

This page is a hidden page. To keep from printing this page, uncheck the checkbox for printing invisible pages in the printing dialog box. Outline: History of HDD HDDs did change the world Introduction of Flash Flash did change the world Overview of SSD SSD Pros and Cons Evaluating the Cost Savings of SSD Seven Trends of the Storage Industry

More information

MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD

MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD MirrorBit Technology: The Foundation for Value-Added Flash Memory Solutions FLASH FORWARD MirrorBit Technology: The Future of Flash Memory is Here Today Spansion is redefining the Flash memory industry

More information

Nanotechnologies for the Integrated Circuits

Nanotechnologies for the Integrated Circuits Nanotechnologies for the Integrated Circuits September 23, 2015 Dr. Bertrand Cambou Professor of Practice NAU, Cybersecurity School of Informatics, Computing, and Cyber-Systems Agenda The Market Silicon

More information

Solid State Technology What s New?

Solid State Technology What s New? Solid State Technology What s New? Dennis Martin, President, Demartek www.storagedecisions.com Agenda: Solid State Technology What s New? Demartek About Us Solid-state storage overview Types of NAND flash

More information

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue

Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Charge-Trapping (CT) Flash and 3D NAND Flash Hang-Ting Lue Macronix International Co., Ltd. Hsinchu,, Taiwan Email: htlue@mxic.com.tw 1 Outline Introduction 2D Charge-Trapping (CT) NAND 3D CT NAND Summary

More information

2014 EMERGING NON- VOLATILE MEMORY & STORAGE TECHNOLOGIES AND MANUFACTURING REPORT

2014 EMERGING NON- VOLATILE MEMORY & STORAGE TECHNOLOGIES AND MANUFACTURING REPORT 2014 EMERGING NON- VOLATILE MEMORY & STORAGE TECHNOLOGIES AND MANUFACTURING REPORT COUGHLIN ASSOCIATES SAN JOSE, CALIFORNIA April 2014 2014 Emerging NV Memory & Storage Technologies and Manufacturing Report

More information

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched

ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML reports Q3 results as guided and remains on track for record 2015 sales Two new lithography scanners launched ASML 2015 Third Quarter Results Veldhoven, the Netherlands Forward looking statements

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2012 1 Topics NAND Flash Architecture Trends The Cloud

More information

Technology Trends in the Storage Universe

Technology Trends in the Storage Universe Technology Trends in the Storage Universe Connected Life and Mobile Devices William Cain, PhD VP Technology, WD, a Western Digital company September 13, 2012 Currie Munce, PhD VP Research, HGST, a Western

More information

Open Source Flash The Next Frontier

Open Source Flash The Next Frontier Open Source Flash The Next Frontier Michael Cornwell Lead Technologist - Flash Memory 1 Flash for the Enterprise A year after the great hype Market Seen Too Small >Consumer is priority by NAND Suppliers

More information

How NAND Flash Threatens DRAM

How NAND Flash Threatens DRAM How NAND Flash Threatens DRAM Jim Handy OBJECTIVE ANALYSIS Outline Why even think about DRAM vs. NAND? The memory/storage hierarchy What benchmarks tell us What about 3D XPoint memory? The system of the

More information

Non-Volatile Memory. Non-Volatile Memory & its use in Enterprise Applications. Contents

Non-Volatile Memory. Non-Volatile Memory & its use in Enterprise Applications. Contents Non-Volatile Memory Non-Volatile Memory & its use in Enterprise Applications Author: Adrian Proctor, Viking Technology [email: adrian.proctor@vikingtechnology.com] This paper reviews different memory technologies,

More information

Why Hybrid Storage Strategies Give the Best Bang for the Buck

Why Hybrid Storage Strategies Give the Best Bang for the Buck JANUARY 28, 2014, SAN JOSE, CA Tom Coughlin, Coughlin Associates & Jim Handy, Objective Analysis PRESENTATION TITLE GOES HERE Why Hybrid Storage Strategies Give the Best Bang for the Buck 1 Outline Different

More information

The Technologies & Architectures. President, Demartek

The Technologies & Architectures. President, Demartek Deep Dive on Solid State t Storage The Technologies & Architectures Dennis Martin Dennis Martin President, Demartek Demartek Company Overview Industry analysis with on-site test lab Lab includes servers,

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1].

Figure 1. New Wafer Size Ramp Up as a Percentage of World Wide Silicon Area Versus Years Elapsed Since the New Size Was Introduced [1]. White Paper Forecasting the 45mm Ramp Up IC Knowledge LLC, PO Box 2, Georgetown, MA 1833 Tx: (978) 352 761, Fx: (978) 352 387, email: info@icknowledge.com Introduction The introduction and ramp up of 45mm

More information

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce The Evolving NAND Flash Business Model for SSD Steffen Hellmold VP BD, SandForce Flash Forward: Flash Flash Memory Memory Storage Storage Solutions Solutions Solid State Storage - Vision Solid State Storage

More information

Changing the World: The Flash Memory Revolution. Eli Harari Chairman & CEO SanDisk Corporation

Changing the World: The Flash Memory Revolution. Eli Harari Chairman & CEO SanDisk Corporation Changing the World: The Flash Memory Revolution Eli Harari Chairman & CEO SanDisk Corporation Forward Looking Statement During our meeting today we will be making forward-looking statements. Any statement

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Cell Phone Memory Industry Report, 2009

Cell Phone Memory Industry Report, 2009 Cell Phone Memory Industry Report, 2009 512Mb is a threshold in NOR flash memory, and the cost increases rapidly if it is higher than 350Mb. In addition, NOR flash memory has applied for limited fields

More information

NAND Flash and The Future of Enterprise Storage

NAND Flash and The Future of Enterprise Storage NAND Flash and The Future of Enterprise Storage Enabling Products or Enabling Profits? He who foretells the future lies, even if he tells the truth. Arab Proverb Mobile Infinitely self-similar, never the

More information

Transforming the Data Center

Transforming the Data Center Transforming the Data Center John Scaramuzzo, Sr. Vice President & General Manager, Enterprise Storage Solutions Stifel Technology, Internet & Media Investor Conference February 9, 2015 1 Forward-Looking

More information

Investor Presentation Q3 2015

Investor Presentation Q3 2015 Investor Presentation Q3 2015 Veeco Instruments 1 Investor Presentation Veeco at a Glance > Leading deposition and etch solutions provider; Veeco enables high-tech electronic device manufacturing > Founded

More information

Dry Film Photoresist & Material Solutions for 3D/TSV

Dry Film Photoresist & Material Solutions for 3D/TSV Dry Film Photoresist & Material Solutions for 3D/TSV Agenda Digital Consumer Market Trends Components and Devices 3D Integration Approaches Examples of TSV Applications Image Sensor and Memory Via Last

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

Frequently Asked Questions March 2013. s620 SATA SSD Enterprise-Class Solid-State Device. Frequently Asked Questions

Frequently Asked Questions March 2013. s620 SATA SSD Enterprise-Class Solid-State Device. Frequently Asked Questions March 2013 s620 SATA SSD Enterprise-Class Solid-State Device Frequently Asked Questions Frequently Asked Questions Q: What about advanced data protection? A: In mission-critical enterprise and datacenter

More information

A Close Look at PCI Express SSDs. Shirish Jamthe Director of System Engineering Virident Systems, Inc. August 2011

A Close Look at PCI Express SSDs. Shirish Jamthe Director of System Engineering Virident Systems, Inc. August 2011 A Close Look at PCI Express SSDs Shirish Jamthe Director of System Engineering Virident Systems, Inc. August 2011 Macro Datacenter Trends Key driver: Information Processing Data Footprint (PB) CAGR: 100%

More information

Non-Volatile Memory and Its Use in Enterprise Applications

Non-Volatile Memory and Its Use in Enterprise Applications Non-Volatile Memory and Its Use in Enterprise Applications Contributor: Viking Technology January 2014 About the SNIA The Storage Networking Industry Association (SNIA) is a not for profit global organization,

More information

Samsung 3bit 3D V-NAND technology

Samsung 3bit 3D V-NAND technology White Paper Samsung 3bit 3D V-NAND technology Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Morphing SSD Into Mainstream Computing Architectures

Morphing SSD Into Mainstream Computing Architectures Morphing SSD Into Mainstream Computing Architectures Bill Gervasi April 2009 discobolusdesigns.com 2 1990 How Many CPUs in a PC? Floppy 486 Sound Graphics LAN Hard Disk Modem and more discobolusdesigns.com

More information

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011

Company Presentation. February 2011. Sustainable Technologies Conference. June 8, 2011 Company Presentation Sustainable Technologies Conference February 2011 June 8, 2011 Disclaimer This presentation contains forward-looking statements relating to the business, financial performance and

More information

An Overview of Flash Storage for Databases

An Overview of Flash Storage for Databases An Overview of Flash Storage for Databases Vadim Tkachenko Morgan Tocker http://percona.com MySQL CE Apr 2010 -2- Introduction Vadim Tkachenko Percona Inc, CTO and Lead of Development Morgan Tocker Percona

More information

The Memory Factor Samsung Green Memory Solutions for energy efficient Systems Ed Hogan E.Hogan@samsung.com 2 /?

The Memory Factor Samsung Green Memory Solutions for energy efficient Systems Ed Hogan E.Hogan@samsung.com 2 /? The Memory Factor Samsung Green Memory Solutions for energy efficient Systems Ed Hogan E.Hogan@samsung.com 2 / 28 2 /? YYYY.MM.DD / 홍길동 책임 / xxxxxx팀 Special requirements of hosting on Memory Dedicated

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Flash and Storage Class Memories. Technology Overview & Systems Impact. Los Alamos/HECFSIO Conference August 6, 2008

Flash and Storage Class Memories. Technology Overview & Systems Impact. Los Alamos/HECFSIO Conference August 6, 2008 Flash and Storage Class Memories Technology Overview & Systems Impact Winfried W. Wilcke Sr. Manager, Nanoscale Science & Technology; Program Director, Silicon Valley Projects Los Alamos/HECFSIO Conference

More information

Advantages of e-mmc 4.4 based Embedded Memory Architectures

Advantages of e-mmc 4.4 based Embedded Memory Architectures Embedded NAND Solutions from 2GB to 128GB provide configurable MLC/SLC storage in single memory module with an integrated controller By Scott Beekman, senior business development manager Toshiba America

More information

SUSS MICROTEC INVESTOR PRESENTATION. November 2015

SUSS MICROTEC INVESTOR PRESENTATION. November 2015 SUSS MICROTEC INVESTOR PRESENTATION November 2015 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial performance and earnings of SUSS MicroTec AG and its

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

Samsung 2bit 3D V-NAND technology

Samsung 2bit 3D V-NAND technology Samsung 2bit 3D V-NAND technology Gain more capacity, speed, endurance and power efficiency Traditional NAND technology cannot keep pace with growing data demands Introduction Data traffic continues to

More information

3D Charge Trapping (CT) NAND Flash Yen-Hao Shih

3D Charge Trapping (CT) NAND Flash Yen-Hao Shih 3D Charge Trapping (CT) NAND Flash Yen-Hao Shih Macronix International Co., Ltd. Hsinchu,, Taiwan Email: yhshih@mxic.com.tw 1 Outline Why Does NAND Go to 3D? Design a 3D NAND Flash Memory Challenges and

More information

The Status of Flash for Practitioners

The Status of Flash for Practitioners Wikibon.com - http://wikibon.com The Status of Flash for Practitioners by David Floyer - 31 August 2015 http://wikibon.com/the-status-of-flash-for-practitioners/ 1 / 6 Premise CIO and senior IT executives

More information

Welcome & Introduction

Welcome & Introduction Welcome & Introduction Accelerating the next technology revolution Sitaram Arkalgud, PhD Director Interconnect Temporary Bond Workshop SEMICON West July 11, 2011 San Francisco CA Copyright 2008 SEMATECH,

More information

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016

Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms. SOI Consortium Conference Tokyo 2016 Substrate maturity and readiness in large volume to support mass adoption of ULP FDSOI platforms Christophe Maleville Substrate readiness 3 lenses view SOI Consortium C1 - Restricted Conference Tokyo 2016

More information

The Economics of Intelligent Hybrid Storage. An Enmotus White Paper Sep 2014

The Economics of Intelligent Hybrid Storage. An Enmotus White Paper Sep 2014 The Economics of Intelligent Hybrid Storage An Enmotus White Paper Sep 2014 SUMMARY Solid State Storage is no longer the storage of the future. It can be found in high- end data centers, in the servers

More information

Chapter 7-1. Definition of ALD

Chapter 7-1. Definition of ALD Chapter 7-1 Atomic Layer Deposition (ALD) Definition of ALD Brief history of ALD ALD process and equipments ALD applications 1 Definition of ALD ALD is a method of applying thin films to various substrates

More information

Crossbar Resistive Memory:

Crossbar Resistive Memory: White Paper Crossbar Resistive Memory: The Future Technology for NAND Flash By Hagop Nazarian, Vice President of Engineering and Co-Founder Abstract NAND Flash technology has been serving the storage memory

More information

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo

SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo SEMI Microelectronic Manufacturing Supply Chain Quarterly Market Data - CYQ1 2016 www.semi.org/marketinfo March 2016 Economic Trends Weakening Currency, especially Yen & Euro, dampened 2015 industry figures

More information

Data Storage Technology Update

Data Storage Technology Update Data Storage Technology Update Hal Woods Vice President and Chief Architect HGST Elastic Storage Platforms April 15, 2015 I have some bad news for you and good news for me You are a data hoarder, an addict

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

Storage Class Memory and the data center of the future

Storage Class Memory and the data center of the future IBM Almaden Research Center Storage Class Memory and the data center of the future Rich Freitas HPC System performance trends System performance requirement has historically double every 18 mo and this

More information

快 閃 記 憶 體 的 產 業 應 用 與 製 程

快 閃 記 憶 體 的 產 業 應 用 與 製 程 快 閃 記 憶 體 的 產 業 應 用 與 製 程 ATP Electronics Inc. 資 深 產 品 經 理 Jes Wang May, 2008 Education & Experiences: 伊 利 諾 理 工 學 院 CIS Master Degree 台 灣 微 軟 產 品 經 理 研 華 科 技 產 品 經 理 Copyright 2007 ATP Electronics, Inc.

More information

Accelerating I/O- Intensive Applications in IT Infrastructure with Innodisk FlexiArray Flash Appliance. Alex Ho, Product Manager Innodisk Corporation

Accelerating I/O- Intensive Applications in IT Infrastructure with Innodisk FlexiArray Flash Appliance. Alex Ho, Product Manager Innodisk Corporation Accelerating I/O- Intensive Applications in IT Infrastructure with Innodisk FlexiArray Flash Appliance Alex Ho, Product Manager Innodisk Corporation Outline Innodisk Introduction Industry Trend & Challenge

More information

EUV lithography NXE platform performance overview

EUV lithography NXE platform performance overview EUV lithography NXE platform performance overview Rudy Peeters 2014 SPIE Advanced Lithography, San Jose CA, 9048-54 Slide 2 Contents Roadmap NXE:3100 NXE:3300B Summary and acknowledgements ASML EUV technology

More information

Winbond W2E512/W27E257 EEPROM

Winbond W2E512/W27E257 EEPROM Construction Analysis Winbond W2E512/W27E257 EEPROM Report Number: SCA 9703-533 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Price/performance Modern Memory Hierarchy

Price/performance Modern Memory Hierarchy Lecture 21: Storage Administration Take QUIZ 15 over P&H 6.1-4, 6.8-9 before 11:59pm today Project: Cache Simulator, Due April 29, 2010 NEW OFFICE HOUR TIME: Tuesday 1-2, McKinley Last Time Exam discussion

More information

Solid State Drive Architecture

Solid State Drive Architecture Solid State Drive Architecture A comparison and evaluation of data storage mediums Tyler Thierolf Justin Uriarte Outline Introduction Storage Device as Limiting Factor Terminology Internals Interface Architecture

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

Design Guide for Photonic Architecture

Design Guide for Photonic Architecture Design Guide for Photonic Architecture Revision 0.5 January 2013 Copyright 2013 Intel Corporation. All rights reserved DRAFT VERSION 0.5 Revision History Date Revision Number Modifications December 2012

More information

Riding silicon trends into our future

Riding silicon trends into our future Riding silicon trends into our future VLSI Design and Embedded Systems Conference, Bangalore, Jan 05 2015 Sunit Rikhi Vice President, Technology & Manufacturing Group General Manager, Intel Custom Foundry

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

MaxDeploy Ready. Hyper- Converged Virtualization Solution. With SanDisk Fusion iomemory products

MaxDeploy Ready. Hyper- Converged Virtualization Solution. With SanDisk Fusion iomemory products MaxDeploy Ready Hyper- Converged Virtualization Solution With SanDisk Fusion iomemory products MaxDeploy Ready products are configured and tested for support with Maxta software- defined storage and with

More information

At-Scale Data Centers & Demand for New Architectures

At-Scale Data Centers & Demand for New Architectures Allen Samuels At-Scale Data Centers & Demand for New Architectures Software Architect, Software and Systems Solutions August 12, 2015 1 Forward-Looking Statements During our meeting today we may make forward-looking

More information

Chapter 6. 6.1 Introduction. Storage and Other I/O Topics. p. 570( 頁 585) Fig. 6.1. I/O devices can be characterized by. I/O bus connections

Chapter 6. 6.1 Introduction. Storage and Other I/O Topics. p. 570( 頁 585) Fig. 6.1. I/O devices can be characterized by. I/O bus connections Chapter 6 Storage and Other I/O Topics 6.1 Introduction I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec I/O bus connections

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Frequently Asked Questions December 2013. ZeusRAM Solid-State Drive. Frequently Asked Questions

Frequently Asked Questions December 2013. ZeusRAM Solid-State Drive. Frequently Asked Questions December 2013 ZeusRAM Solid-State Drive Frequently Asked Questions Frequently Asked Questions Q: What is ZeusRAM? A: ZeusRAM is a low latency (under 23us) wear-resistant SAS SSD. It supports dual 6Gb SAS

More information

NAND Flash Memory as Driver of Ubiquitous Portable Storage and Innovations

NAND Flash Memory as Driver of Ubiquitous Portable Storage and Innovations NAND Flash Memory as Driver of Ubiquitous Portable Storage and Innovations aka: how we changed the world and the next chapter July 7, 2 Jian Chen Technical Executive, NAND System Engineering Memory, Oh

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2011 1 Topics NAND Flash trends SSD/Enterprise application

More information

Getting the Most Out of Flash Storage

Getting the Most Out of Flash Storage Business in a Flash. Getting the Most Out of Flash Storage Introduction, Usability, Optimization May 2015 David Lin Solutions Architect dlin@vmem.com 1 The copyright for images, icons, and logos used belong

More information

Building a Flash Fabric

Building a Flash Fabric Introduction Storage Area Networks dominate today s enterprise data centers. These specialized networks use fibre channel switches and Host Bus Adapters (HBAs) to connect to storage arrays. With software,

More information

OBJECTIVE ANALYSIS WHITE PAPER MATCH FLASH. TO THE PROCESSOR Why Multithreading Requires Parallelized Flash ATCHING

OBJECTIVE ANALYSIS WHITE PAPER MATCH FLASH. TO THE PROCESSOR Why Multithreading Requires Parallelized Flash ATCHING OBJECTIVE ANALYSIS WHITE PAPER MATCH ATCHING FLASH TO THE PROCESSOR Why Multithreading Requires Parallelized Flash T he computing community is at an important juncture: flash memory is now generally accepted

More information

FUSION iocontrol HYBRID STORAGE ARCHITECTURE 1 WWW.FUSIONIO.COM

FUSION iocontrol HYBRID STORAGE ARCHITECTURE 1 WWW.FUSIONIO.COM 1 WWW.FUSIONIO.COM FUSION iocontrol HYBRID STORAGE ARCHITECTURE Contents Contents... 2 1 The Storage I/O and Management Gap... 3 2 Closing the Gap with Fusion-io... 4 2.1 Flash storage, the Right Way...

More information

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis

Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis August 17, 2006 Micron MT29F2G08AAB 2 Gbit NAND Flash Memory Structural Analysis For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

CS257 Introduction to Nanocomputing

CS257 Introduction to Nanocomputing CS257 Introduction to Nanocomputing Overview of Crossbar-Based Computing John E Savage Overview Intro to NW growth methods Chemical vapor deposition and fluidic assembly Nano imprinting Nano stamping Four

More information

Embedded STT-MRAM for Mobile Applications:

Embedded STT-MRAM for Mobile Applications: Embedded STT-MRAM for Mobile Applications: Enabling Advanced Chip Architectures Seung H. Kang Qualcomm Inc. Acknowledgments I appreciate valuable contributions and supports from Kangho Lee, Xiaochun Zhu,

More information

Memory Channel Storage ( M C S ) Demystified. Jerome McFarland

Memory Channel Storage ( M C S ) Demystified. Jerome McFarland ory nel Storage ( M C S ) Demystified Jerome McFarland Principal Product Marketer AGENDA + INTRO AND ARCHITECTURE + PRODUCT DETAILS + APPLICATIONS THE COMPUTE-STORAGE DISCONNECT + Compute And Data Have

More information

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication

Total Hot Spot Management from Design Rule Definition to Silicon Fabrication Total Management from Rule Definition to Silicon Fabrication Soichi Inoue, Toshiya Kotani, Shigeki Nojima, Satoshi Tanaka, Kohji Hashimoto, and Ichiro Mori & Manufacturing Engineering Center, Toshiba Corporation,

More information

Flash 101. Violin Memory Switzerland. Violin Memory Inc. Proprietary 1

Flash 101. Violin Memory Switzerland. Violin Memory Inc. Proprietary 1 Flash 101 Violin Memory Switzerland Violin Memory Inc. Proprietary 1 Agenda - What is Flash? - What is the difference between Flash types? - Why are SSD solutions different from Flash Storage Arrays? -

More information

File System Management

File System Management Lecture 7: Storage Management File System Management Contents Non volatile memory Tape, HDD, SSD Files & File System Interface Directories & their Organization File System Implementation Disk Space Allocation

More information

FLASH GAINS GROUND AS ENTERPRISE STORAGE OPTION

FLASH GAINS GROUND AS ENTERPRISE STORAGE OPTION FLASH GAINS GROUND AS ENTERPRISE STORAGE OPTION With new management functions placing it closer to parity with hard drives, as well as new economies, flash is gaining traction as a standard media for mainstream

More information

Choosing the Right NAND Flash Memory Technology

Choosing the Right NAND Flash Memory Technology Choosing the Right NAND Flash Memory Technology A Basic Introduction to NAND Flash Offerings Dean Klein Vice President of System Memory Development Micron Technology, Inc. Executive Summary A 75% increase

More information

Managing the evolution of Flash : beyond memory to storage

Managing the evolution of Flash : beyond memory to storage Managing the evolution of Flash : beyond memory to storage Tony Kim Director, Memory Marketing Samsung Semiconductor I nc. Nonvolatile Memory Seminar Hot Chips Conference August 22, 2010 Memorial Auditorium

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper

SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications. A TCS Space & Component Technology White Paper SLC vs MLC: Proper Flash Selection for SSDs in Industrial, Military and Avionic Applications A TCS Space & Component Technology White Paper Introduction As with most storage technologies, NAND Flash vendors

More information

Accelerating Server Storage Performance on Lenovo ThinkServer

Accelerating Server Storage Performance on Lenovo ThinkServer Accelerating Server Storage Performance on Lenovo ThinkServer Lenovo Enterprise Product Group April 214 Copyright Lenovo 214 LENOVO PROVIDES THIS PUBLICATION AS IS WITHOUT WARRANTY OF ANY KIND, EITHER

More information