Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron May 2013

Size: px
Start display at page:

Download "Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron May 2013"

Transcription

1 Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron May 2013 Ch#47043

2 Table of Contents 1. Challenges of DRAM and Future of DRAM (ITRS) 2. Different types of scaling 3. JEDEC s Perspective 4. Industry Status from DRAM manufacturers 2 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

3 Challenges of DRAM Reference: World Semiconductor Trade Statics (WSTS) DRAM continues to play a major role in the semiconductor industry. However, DRAM continues to face its three perennial challenges 3 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

4 Challenges of DRAM Reduce Power Consumption Industry has been tackling these 3 issues by various methods 4 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

5 Challenges of DRAM Bandwidth is the biggest challenge of DRAM Infrastructure includes server, storage and networking. 5 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

6 Challenges of DRAM Currently process scaling option is running out of steam 6 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

7 Challenges of DRAM Memory systems are not only the bottle neck of higher system performance due to bandwidth and addressing issues, but also the biggest power consumer in a server. The figure was taken from Denali MemCon 2009, in a speech by Samsung's Dr. S. Kadivar 7 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

8 Challenges of DRAM What does process scaling do? Process scaling reduces the cost by decreasing the cell size Process scaling reduces power by lowering operating voltage Process scaling combined with right interface increases bandwidth 8 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

9 Challenges of DRAM Process challenges as defined by IITRS roadmap 2011 The challenges for DRAM devices are: Adequate storage capacitance with reduced feature size, High-κ dielectrics implementation, Low leakage access device design Low sheet resistance materials for bit and word lines. Higher bit density requires transition from 6F 2 to 4F 2 which is challenging as high aspect ratio vertical transistor has to replace recess channel field effect transistor (FET). International Technology Roadmap for Semiconductors (ITRS). 9 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

10 Challenges of DRAM (from ITRS) DRAM capacitor technology is now more seriously challenged than any other previous period due to the accelerated scaling of cell size 10 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

11 Challenges of DRAM (ITRS) Pillar type structure Aspect ratio (A/R) of storage node (SN) is increasing with every technology node. A/R of storage node is calculated as (SN height) / F where is the minimum feature size. A/R of SN (out) is calculated as (SN height) /(F- 2 x t); where t= physical high k dielectric layer thickness. 11 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

12 Challenges of DRAM (from ITRS) Source ITRS All DRAM makers (Samsung, SK-Hynix & Micron) are in 3x node All three are still using 6F 2 layout, no transition to 4F 2 yet All are using buried wordline structure The mask count for all of them are around 40 The process is already very expensive and there are only 3 players 12 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

13 Challenges of DRAM (from ITRS) Emerging memory is part of DRAM roadmap 13 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

14 Challenges of DRAM Maintaining the capacitance with smaller surface areas normally involves thinning the dielectric film that impacts leakage. This implies new materials, new processes new precursors, new tools. With razor thin margins, new investment makes little sense DRAM must make metamorphosis from 1 Transistor+ 1 capacitor to one of the following: Capacitor-less DRAM Adopt emerging memory like Spin-Torque-Transfer (STT) MRAM Continue to exist as embedded memory Conventional DRAM will most likely end around 16 nm. 14 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

15 Challenges of DRAM DRAM Alternatives Capacitor-less DRAM A significant portion of the technology developed for DRAM is not extendible to advanced technology nodes. In order to overcome cell-area scalability and the process complexity issues of traditional DRAM technology, the concept of capacitorless DRAM was introduced in the early 1990s by Innovative Silicon and was called Z-RAM. Z stands for zero capacitor. This concept of Z-RAM has the full potential to be developed on silicon-on insulator (SOI) wafers. Z-Ram uses a floating body and stores data through an application of the floating body effect in the SOI substrate. However, apart from conference proceedings and research papers, this integration scheme is not available in any commercial product. 15 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

16 Challenges of DRAM DRAM Alternatives Adopt emerging memory like Spin-Torque-Transfer (STT) MRAM One possible scenario is spin-torque MRAM (STT-RAM) could replace DRAM and SRAM. It has 1 transistor & 1 Metal Tunnel Junction (MTJ). Magnetization orientations in magnetic multilayers are controlled via spin polarized current. But there are still several challenges, one important aspect is the design layout. STT-RAM has to be at least 6F2 to be competitive with DRAM. Other challenges include controlling the switching currents in the MTJ memory cell. At least some commercial products were made in the past by Grandis, Everspin and others. Samsung has suggested that it would be ready around 2014 with this STT-MRAM device. 16 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

17 Challenges of DRAM DRAM Alternatives Continue to exist as embedded memory Embedded DRAM (edram) has high integration density, allows bringing large memory volume close to computing cores. edram has performance benefits with wide I/O and eliminates chip interface delay edram has power saving as no chip to chip data bus or address bus. edram improves quality as there is better control on soft error. edram can replace SRAM it provides a competitive solution for many system design challenges. This option is mainly pushed by IBM and recently by Intel. In VLSI 2013, there is a paper by Intel entitled. A 22 nm High Performance Embedded DRAM SoC Technology Featuring Tri gate Transistors and MIMCAP COB. 17 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

18 Challenges of DRAM DRAM Alternatives Continue to exist as embedded memory Back in 2010 IBM had already demonstrated that in 45 nm SOI-CMOS a 64Mb edram with trench capacitor is faster than SRAM In 2012 IBM demonstrated in 32 nm edram with high-k node and feasibility in 22 nm logic process. 18 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

19 Challenges of DRAM DRAM Alternatives Intel is strongly present in edram. Source: Tip_sheet_2013_VLSI_symp _Tech_April102013_English _Version Symposium on VLSI Technology Highlight Papers 22-nm Embedded DRAM SoC Technology featuring Trigate Transistors and MIMCAP COB Mass production of high performance CPU with 22 nm generation CMOS devices has been already started in last year. At this year's Symposium on VLSI Technology, Intel will report technical details of their embedded DRAM with 22 nm generation technology on bulk silicon wafer. They realized µm 2 DRAM cell capable of meeting >100 µs retention at 95 C. The excellent leakage and performance characteristics of Tri-gate transistors have been optimized for the access transistor, while maintaining the performance needed to enable high performance circuits in the same die. A high aspect-ratio, 3-D Capacitor-Over-Bitline(COB) metal-insulator-metal(mim) capacitor trench has been integrated into the ultra-low-k interlayer dielectric and Cu metallization used for interconnect stacks. Excellent retention capability and yield have been demonstrated. 19 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

20 Different types of Scaling What happens to scaling? IITRS discusses other scalings Geometrical (constant field) Scaling refers to the continued shrinking of horizontal and vertical physical feature sizes of the on-chip logic and memory storage functions in order to improve density (cost per function reduction) Equivalent Scaling (occurs in conjunction with, and also enables, continued geometrical scaling) refers to 3-dimensional device structure ( Design Factor ) improvements plus other non-geometrical process techniques and new materials that affect the electrical performance of the chip. Design Equivalent Scaling (occurs in conjunction with equivalent scaling and continued geometric scaling) refers to design technologies that enable high performance, low power, high reliability, low cost, and high design productivity. 20 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

21 Different types of Scaling Equivalent Scaling and Design Equivalent Scaling include: Wide I/O, DDR and DIMMs Wide I/O concept This concept aims at increasing the bandwidth between the memory and its driving logic IC by increasing a large high IO count data bus between the two circuits. Wide I/O includes through-silicon-vias (TSV), interposers and 3D stacking. Hyper Memory Cube (HMC) is also part of the group Wide I/O group. HMC is actively pursued by Micron and Samsung. JEDEC is also pursuing the concept of 3D technology which stacks DRAM on top of a logic device in a master-slave configuration and has high bandwidth. 21 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

22 Different types of Scaling Equivalent Scaling and Design Equivalent Scaling DDR Thus the "DDR" in DDR DRAM stands for "Double Data Rate," a name that it gets from this ability to transfer twice the data per clock as an SDRAM. A DDR2 transfers its commands and addresses on the on both the rising and falling edges of the clock. Similarly DDR3 and DDR4 would transfer its commands three times and four times in a cycle. High DDR is effective for large bandwidth. Currently, DDR3 is the mainstream, DDR2 has phased out and DDR4 is being introduced. DDR and Wide I/O are complementary technologies for increasing bandwidth. 22 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

23 Different types of Scaling Equivalent Scaling and Design Equivalent Scaling DIMMs DIMM stands for dual inline memory module and provide two lines of communication paths between the module and the system, one in the front and one in the back. DRAMs are usually build in dual inline memory modules and one or more DIMMs are connected to a memory controller through a shared data bus forming a memory channel. If a DIMM is not properly designed then there is lot of wastage in memory systems because large number of bits are activated per memory access and most of them are stored back with being altered. JEDEC and memory manufacturers have a roadmap for improving their DIMMs configuration. 23 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

24 JEDEC s Perspective of DRAM JEDEC's DRAM Server Roadmap (Source: JEDEC) To stay on Moore s Law, a server from Oracle/Sun must provide an off-chip bandwidth of 300 terabytes per second (Tbps) by 2020 \ about 100x more than current systems. 24 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

25 JEDEC s Perspective JEDEC's DRAM Server Roadmap (Source: JEDEC) JEDEC s roadmap, DDR3 now comes in two speed grades: 1,333- and 1,600-MHz. Hynix, Micron and Samsung are developing the next-generation interface DDR4 and are also actively working on wide I/O. 25 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

26 JEDEC s Perspective ESD229.pdf Low power DDR and combination of Wide I/O is the solution to tackle the large bandwidth problem. Wide I/O and TSV technology are complementary to DDR interface designs. 26 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

27 JEDEC s Perspective JEDEC is advocating the advance of 3D integration, which includes TSV as a major alternative for DRAM impasse, but 3D integration has many challenges too I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

28 Industry Status from DRAM manufacturers MICRON 2012 Micron Fall Analyst Conference DRAM consumption is continuously increasing only the focus is changing from PC to mobile and servers. Micron is supporting all segments. Infrastructure (Servers, storage and networking) take 46% of DRAM share. 28 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

29 Industry Status from DRAM manufacturers MICRON 2012 Micron Fall Analyst Conference DRAM current status from Micron With every new technology node the cost per bit decreases probably after two more generations, a new memory technology will take the relay. 29 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

30 Industry Status from DRAM DDR4 manufacturers MICRON 2012 Micron Fall Analyst Conference Currently available Micron has low power DDR3 and has already started shipping DDR4. DDR4 may give 35% power savings and increased speeds compared to DDR3. 30 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

31 Industry Status from DRAM manufacturers MICRON: FUTURE Micron will introduce the Hybrid Memory Cube (HMC) this year I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

32 Industry Status from DRAM manufacturers MICRON: FUTURE Micron s HMC will be supported by traditional PCB and advanced Si-interposers HMC will demonstrate the success of TSV as a reliable and cost effective solution for bandwidth and power reduction 32 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

33 Industry Status from DRAM manufacturers SK-HYNIX Hynix DRAM is strongly present in the PC sector and mobile devices. Hynix is also active in graphics DRAM. 33 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

34 Industry Status from DRAM manufacturers SK-HYNIX Mobile DRAM Hynix also like other manufacturers has application specific low-power DRAM. 34 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

35 Industry Status from DRAM manufacturers SK-HYNIX High Bandwidth Memory (HBM) SK Hynix 16 Gb DRAM Hynix is also working in wide I/O and TSV technologies. Hynix is also part of the hybrid-memory consortium. Hynix joined Sematech 3D Interconnect program in 2011 SK Hynix High Bandwidth Memory (HBM) 35 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

36 Industry Status from DRAM manufacturers SAMSUNG DRAM Samsung s 20 nm DDR3 DRAM is already in the market in Samsung is also transitioning to DDR4 soon. Samsung like Micron is active in the server space and is reducing. Power consumption and increasing bandwidth. 36 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

37 Industry Status from DRAM manufacturers SAMSUNG Design Automation conference 2012 Samsung will have wide IO DRAM (Non-JEDEC type ball interface) ready for customer sample in early 2013 and will also have JEDEC standard wide IO DRAM. 37 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

38 Industry Status from DRAM manufacturers SAMSUNG Samsung is has a strong participation in mobile DRAM 38 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

39 Industry Status from DRAM manufacturers SAMSUNG Samsung product catalogue (Source: Intel developer Forum 2011) Samsung is also a member in hybrid memory cube consortium. Samsung also has a several alternative memories in advanced stage. 39 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

40 Industry Status from DRAM manufacturers Process 3x node Process 2x node DDR3 DDR4 Wide I/O TSV Micron 2012 Coming sometime in 2013 SK-Hynix 2012 Coming sometime in 2013 Samsung 2012 Available in 2013 Yes Yes HMC in 2013 Yes ---- HBM Yes ---- Wide I/O with TSV in 2013 It is difficult to compare across the companies because each of these companies have their primary focus like server, mobile DRAM or thin Notebook and have various products with different voltage and bandwidth specs Also along with the product the interface with DIMM have to be taken in account. 40 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

41 Industry Status from DRAM manufacturers All manufacturers are designing DRAM for specific applications. There is no universal DRAM for all applications starting from server to mobile DRAM. Interfacing with DRAM and packaging is becoming more important than process technologies. All memory makers are working in parallel with DDR4 and wide I/O-TSV. So packaging and interfacing will improve bandwidth and reduce power consumption. As far process technology is concerned, all memory will most likely transit from conventional DRAM to an emerging memory in the 1x node. 41 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

42 Industry Status from DRAM manufacturers Carry away message Reduction of power consumption and improving bandwidth has become more important than shrinking the process node. Wide I/O with TSV in DRAMs is coming in the near future The three perennial challenges of DRAM still remain 42 I Technology Roadmap of DRAM for Three Major manufacturers: Samsung, SK-Hynix and Micron

Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting

Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting Emerging storage and HPC technologies to accelerate big data analytics Jerome Gaysse JG Consulting Introduction Big Data Analytics needs: Low latency data access Fast computing Power efficiency Latest

More information

Semiconductor Device Technology for Implementing System Solutions: Memory Modules

Semiconductor Device Technology for Implementing System Solutions: Memory Modules Hitachi Review Vol. 47 (1998), No. 4 141 Semiconductor Device Technology for Implementing System Solutions: Memory Modules Toshio Sugano Atsushi Hiraishi Shin ichi Ikenaga ABSTRACT: New technology is producing

More information

Advanced VLSI Design CMOS Processing Technology

Advanced VLSI Design CMOS Processing Technology Isolation of transistors, i.e., their source and drains, from other transistors is needed to reduce electrical interactions between them. For technologies

More information

DDR4 Memory Technology on HP Z Workstations

DDR4 Memory Technology on HP Z Workstations Technical white paper DDR4 Memory Technology on HP Z Workstations DDR4 is the latest memory technology available for main memory on mobile, desktops, workstations, and server computers. DDR stands for

More information

Intel s Revolutionary 22 nm Transistor Technology

Intel s Revolutionary 22 nm Transistor Technology Intel s Revolutionary 22 nm Transistor Technology Mark Bohr Intel Senior Fellow Kaizad Mistry 22 nm Program Manager May, 2011 1 Key Messages Intel is introducing revolutionary Tri-Gate transistors on its

More information

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond

Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Evaluating Embedded Non-Volatile Memory for 65nm and Beyond Wlodek Kurjanowicz DesignCon 2008 Sidense Corp 2008 Agenda Introduction: Why Embedded NVM? Embedded Memory Landscape Antifuse Memory evolution

More information

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012

Intel Labs at ISSCC 2012. Copyright Intel Corporation 2012 Intel Labs at ISSCC 2012 Copyright Intel Corporation 2012 Intel Labs ISSCC 2012 Highlights 1. Efficient Computing Research: Making the most of every milliwatt to make computing greener and more scalable

More information

Non-Volatile Memory. Non-Volatile Memory & its use in Enterprise Applications. Contents

Non-Volatile Memory. Non-Volatile Memory & its use in Enterprise Applications. Contents Non-Volatile Memory Non-Volatile Memory & its use in Enterprise Applications Author: Adrian Proctor, Viking Technology [email: adrian.proctor@vikingtechnology.com] This paper reviews different memory technologies,

More information

How To Scale At 14 Nanomnemester

How To Scale At 14 Nanomnemester 14 nm Process Technology: Opening New Horizons Mark Bohr Intel Senior Fellow Logic Technology Development SPCS010 Agenda Introduction 2 nd Generation Tri-gate Transistor Logic Area Scaling Cost per Transistor

More information

How To Increase Areal Density For A Year

How To Increase Areal Density For A Year R. Fontana¹, G. Decad¹, S. Hetzler² ¹IBM Systems Technology Group, ²IBM Research Division 20 September 2012 Technology Roadmap Comparisons for TAPE, HDD, and NAND Flash: Implications for Data Storage Applications

More information

A Look Inside Smartphone and Tablets

A Look Inside Smartphone and Tablets A Look Inside Smartphone and Tablets Devices and Trends John Scott-Thomas TechInsights Semicon West July 9, 2013 Teardown 400 phones and tablets a year Four areas: Customer Focus Camera Display Manufacturer

More information

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda.

1.Introduction. Introduction. Most of slides come from Semiconductor Manufacturing Technology by Michael Quirk and Julian Serda. .Introduction If the automobile had followed the same development cycle as the computer, a Rolls- Royce would today cost $00, get one million miles to the gallon and explode once a year Most of slides

More information

Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems

Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems Flash & DRAM Si Scaling Challenges, Emerging Non-Volatile Memory Technology Enablement - Implications to Enterprise Storage and Server Compute systems Jung H. Yoon, Hillery C. Hunter, Gary A. Tressler

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

ECE 410: VLSI Design Course Introduction

ECE 410: VLSI Design Course Introduction ECE 410: VLSI Design Course Introduction Professor Andrew Mason Michigan State University Spring 2008 ECE 410, Prof. A. Mason Lecture Notes Page i.1 Age of electronics microcontrollers, DSPs, and other

More information

Implementation Of High-k/Metal Gates In High-Volume Manufacturing

Implementation Of High-k/Metal Gates In High-Volume Manufacturing White Paper Implementation Of High-k/Metal Gates In High-Volume Manufacturing INTRODUCTION There have been significant breakthroughs in IC technology in the past decade. The upper interconnect layers of

More information

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST

Flash Memories. João Pela (52270), João Santos (55295) December 22, 2008 IST Flash Memories João Pela (52270), João Santos (55295) IST December 22, 2008 João Pela (52270), João Santos (55295) (IST) Flash Memories December 22, 2008 1 / 41 Layout 1 Introduction 2 How they work 3

More information

Low Power AMD Athlon 64 and AMD Opteron Processors

Low Power AMD Athlon 64 and AMD Opteron Processors Low Power AMD Athlon 64 and AMD Opteron Processors Hot Chips 2004 Presenter: Marius Evers Block Diagram of AMD Athlon 64 and AMD Opteron Based on AMD s 8 th generation architecture AMD Athlon 64 and AMD

More information

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces

White Paper Utilizing Leveling Techniques in DDR3 SDRAM Memory Interfaces White Paper Introduction The DDR3 SDRAM memory architectures support higher bandwidths with bus rates of 600 Mbps to 1.6 Gbps (300 to 800 MHz), 1.5V operation for lower power, and higher densities of 2

More information

DDR subsystem: Enhancing System Reliability and Yield

DDR subsystem: Enhancing System Reliability and Yield DDR subsystem: Enhancing System Reliability and Yield Agenda Evolution of DDR SDRAM standards What is the variation problem? How DRAM standards tackle system variability What problems have been adequately

More information

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015

DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 DEVELOPMENTS & TRENDS IN FEOL MATERIALS FOR ADVANCED SEMICONDUCTOR DEVICES Michael Corbett mcorbett@linx-consulting.com Semicon Taiwan2015 LINX BACKGROUND Linx Consulting 1. We help our clients to succeed

More information

Embedded STT-MRAM for Mobile Applications:

Embedded STT-MRAM for Mobile Applications: Embedded STT-MRAM for Mobile Applications: Enabling Advanced Chip Architectures Seung H. Kang Qualcomm Inc. Acknowledgments I appreciate valuable contributions and supports from Kangho Lee, Xiaochun Zhu,

More information

Riding silicon trends into our future

Riding silicon trends into our future Riding silicon trends into our future VLSI Design and Embedded Systems Conference, Bangalore, Jan 05 2015 Sunit Rikhi Vice President, Technology & Manufacturing Group General Manager, Intel Custom Foundry

More information

The Central Processing Unit:

The Central Processing Unit: The Central Processing Unit: What Goes on Inside the Computer Chapter 4 Objectives Identify the components of the central processing unit and how they work together and interact with memory Describe how

More information

Non-Volatile Memory and Its Use in Enterprise Applications

Non-Volatile Memory and Its Use in Enterprise Applications Non-Volatile Memory and Its Use in Enterprise Applications Contributor: Viking Technology January 2014 About the SNIA The Storage Networking Industry Association (SNIA) is a not for profit global organization,

More information

Computer Architecture

Computer Architecture Computer Architecture Random Access Memory Technologies 2015. április 2. Budapest Gábor Horváth associate professor BUTE Dept. Of Networked Systems and Services ghorvath@hit.bme.hu 2 Storing data Possible

More information

1.55V DDR2 SDRAM FBDIMM

1.55V DDR2 SDRAM FBDIMM 1.55V DDR2 SDRAM FBDIMM MT18RTF25672FDZ 2GB 2GB (x72, DR) 240-Pin DDR2 SDRAM FBDIMM Features Features 240-pin, fully buffered DIMM (FBDIMM) Very low-power DDR2 operation Component configuration: 256 Meg

More information

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS

SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS SOLVING HIGH-SPEED MEMORY INTERFACE CHALLENGES WITH LOW-COST FPGAS A Lattice Semiconductor White Paper May 2005 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

Computing for Data-Intensive Applications:

Computing for Data-Intensive Applications: Computing for Data-Intensive Applications: Beyond CMOS and Beyond Von-Neumann Said Hamdioui Computer Engineering Delft University of Technology The Netherlands Workshop on Memristive systems for Space

More information

Introduction to Digital System Design

Introduction to Digital System Design Introduction to Digital System Design Chapter 1 1 Outline 1. Why Digital? 2. Device Technologies 3. System Representation 4. Abstraction 5. Development Tasks 6. Development Flow Chapter 1 2 1. Why Digital

More information

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process

Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Winbond W971GG6JB-25 1 Gbit DDR2 SDRAM 65 nm CMOS DRAM Process Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor

More information

3D NAND Technology Implications to Enterprise Storage Applications

3D NAND Technology Implications to Enterprise Storage Applications 3D NAND Technology Implications to Enterprise Storage Applications Jung H. Yoon Memory Technology IBM Systems Supply Chain Outline Memory Technology Scaling - Driving Forces Density trends & outlook Bit

More information

Configuring Memory on the HP Business Desktop dx5150

Configuring Memory on the HP Business Desktop dx5150 Configuring Memory on the HP Business Desktop dx5150 Abstract... 2 Glossary of Terms... 2 Introduction... 2 Main Memory Configuration... 3 Single-channel vs. Dual-channel... 3 Memory Type and Speed...

More information

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1

Chapter 1 Introduction to The Semiconductor Industry 2005 VLSI TECH. 1 Chapter 1 Introduction to The Semiconductor Industry 1 The Semiconductor Industry INFRASTRUCTURE Industry Standards (SIA, SEMI, NIST, etc.) Production Tools Utilities Materials & Chemicals Metrology Tools

More information

1. Memory technology & Hierarchy

1. Memory technology & Hierarchy 1. Memory technology & Hierarchy RAM types Advances in Computer Architecture Andy D. Pimentel Memory wall Memory wall = divergence between CPU and RAM speed We can increase bandwidth by introducing concurrency

More information

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology

Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Static-Noise-Margin Analysis of Conventional 6T SRAM Cell at 45nm Technology Nahid Rahman Department of electronics and communication FET-MITS (Deemed university), Lakshmangarh, India B. P. Singh Department

More information

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines

Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines Dual DIMM DDR2 and DDR3 SDRAM Interface Design Guidelines May 2009 AN-444-1.1 This application note describes guidelines for implementing dual unbuffered DIMM DDR2 and DDR3 SDRAM interfaces. This application

More information

DESIGN CHALLENGES OF TECHNOLOGY SCALING

DESIGN CHALLENGES OF TECHNOLOGY SCALING DESIGN CHALLENGES OF TECHNOLOGY SCALING IS PROCESS TECHNOLOGY MEETING THE GOALS PREDICTED BY SCALING THEORY? AN ANALYSIS OF MICROPROCESSOR PERFORMANCE, TRANSISTOR DENSITY, AND POWER TRENDS THROUGH SUCCESSIVE

More information

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas.

AN1837. Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas. Order this document by /D Non-Volatile Memory Technology Overview By Stephen Ledford Non-Volatile Memory Technology Center Austin, Texas Introduction Today s microcontroller applications are more sophisticated

More information

Digital Integrated Circuit (IC) Layout and Design

Digital Integrated Circuit (IC) Layout and Design Digital Integrated Circuit (IC) Layout and Design! EE 134 Winter 05 " Lecture Tu & Thurs. 9:40 11am ENGR2 142 " 2 Lab sections M 2:10pm 5pm ENGR2 128 F 11:10am 2pm ENGR2 128 " NO LAB THIS WEEK " FIRST

More information

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost

Comparison study of FinFETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost Comparison study of FETs: SOI vs. Bulk Performance, Manufacturing Variability and Cost David Fried, IBM Thomas Hoffmann, IMEC Bich-Yen Nguyen, SOITEC Sri Samavedam, Freescale Horacio Mendez, SOI Industry

More information

Advantages of e-mmc 4.4 based Embedded Memory Architectures

Advantages of e-mmc 4.4 based Embedded Memory Architectures Embedded NAND Solutions from 2GB to 128GB provide configurable MLC/SLC storage in single memory module with an integrated controller By Scott Beekman, senior business development manager Toshiba America

More information

Module 7 : I/O PADs Lecture 33 : I/O PADs

Module 7 : I/O PADs Lecture 33 : I/O PADs Module 7 : I/O PADs Lecture 33 : I/O PADs Objectives In this lecture you will learn the following Introduction Electrostatic Discharge Output Buffer Tri-state Output Circuit Latch-Up Prevention of Latch-Up

More information

Introduction to CMOS VLSI Design

Introduction to CMOS VLSI Design Introduction to CMOS VLSI esign Slides adapted from: N. Weste,. Harris, CMOS VLSI esign, Addison-Wesley, 3/e, 24 Introduction Integrated Circuits: many transistors on one chip Very Large Scale Integration

More information

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications

Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications Efficient Interconnect Design with Novel Repeater Insertion for Low Power Applications TRIPTI SHARMA, K. G. SHARMA, B. P. SINGH, NEHA ARORA Electronics & Communication Department MITS Deemed University,

More information

Dell PowerEdge Servers 2009 - Memory

Dell PowerEdge Servers 2009 - Memory Dell PowerEdge Servers 2009 - Memory A Dell Technical White Paper By Paul Benson Dell Enterprise Development February 2009 1 THIS WHITE PAPER IS FOR INFORMATIONAL PURPOSES ONLY, AND MAY CONTAIN TYPOGRAPHICAL

More information

Photonic Networks for Data Centres and High Performance Computing

Photonic Networks for Data Centres and High Performance Computing Photonic Networks for Data Centres and High Performance Computing Philip Watts Department of Electronic Engineering, UCL Yury Audzevich, Nick Barrow-Williams, Robert Mullins, Simon Moore, Andrew Moore

More information

Samsung 3bit 3D V-NAND technology

Samsung 3bit 3D V-NAND technology White Paper Samsung 3bit 3D V-NAND technology Yield more capacity, performance and power efficiency Stay abreast of increasing data demands with Samsung's innovative vertical architecture Introduction

More information

Computer Systems Structure Main Memory Organization

Computer Systems Structure Main Memory Organization Computer Systems Structure Main Memory Organization Peripherals Computer Central Processing Unit Main Memory Computer Systems Interconnection Communication lines Input Output Ward 1 Ward 2 Storage/Memory

More information

Random Access Memory (RAM) Types of RAM. RAM Random Access Memory Jamie Tees SDRAM. Micro-DIMM SO-DIMM

Random Access Memory (RAM) Types of RAM. RAM Random Access Memory Jamie Tees SDRAM. Micro-DIMM SO-DIMM Random Access Memory (RAM) Sends/Receives data quickly between CPU This is way quicker than using just the HDD RAM holds temporary data used by any open application or active / running process Multiple

More information

A New Chapter for System Designs Using NAND Flash Memory

A New Chapter for System Designs Using NAND Flash Memory A New Chapter for System Designs Using Memory Jim Cooke Senior Technical Marketing Manager Micron Technology, Inc December 27, 2010 Trends and Complexities trends have been on the rise since was first

More information

TowerJazz High Performance SiGe BiCMOS processes

TowerJazz High Performance SiGe BiCMOS processes TowerJazz High Performance SiGe BiCMOS processes 2 Comprehensive Technology Portfolio 0.50 µm 0.35 µm 0.25 µm 0.18/0.16/0.152 µm 0.13 0.13µm BiCMOS, SiGe SiGe SiGe SiGe Power/BCD BCD BCD Power/BCD Image

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2012 1 Topics NAND Flash Architecture Trends The Cloud

More information

Table 1: Address Table

Table 1: Address Table DDR SDRAM DIMM D32PB12C 512MB D32PB1GJ 1GB For the latest data sheet, please visit the Super Talent Electronics web site: www.supertalentmemory.com Features 184-pin, dual in-line memory module (DIMM) Fast

More information

OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC

OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC OpenPOWER Outlook AXEL KOEHLER SR. SOLUTION ARCHITECT HPC Driving industry innovation The goal of the OpenPOWER Foundation is to create an open ecosystem, using the POWER Architecture to share expertise,

More information

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit.

Logical Operations. Control Unit. Contents. Arithmetic Operations. Objectives. The Central Processing Unit: Arithmetic / Logic Unit. Objectives The Central Processing Unit: What Goes on Inside the Computer Chapter 4 Identify the components of the central processing unit and how they work together and interact with memory Describe how

More information

1.1 Silicon on Insulator a brief Introduction

1.1 Silicon on Insulator a brief Introduction Table of Contents Preface Acknowledgements Chapter 1: Overview 1.1 Silicon on Insulator a brief Introduction 1.2 Circuits and SOI 1.3 Technology and SOI Chapter 2: SOI Materials 2.1 Silicon on Heteroepitaxial

More information

State-of-the-Art Flash Memory Technology, Looking into the Future

State-of-the-Art Flash Memory Technology, Looking into the Future State-of-the-Art Flash Memory Technology, Looking into the Future April 16 th, 2012 大 島 成 夫 (Jeff Ohshima) Technology Executive Memory Design and Application Engineering Semiconductor and Storage Products

More information

Innodisk ireport 2015 Q1

Innodisk ireport 2015 Q1 Innodisk ireport 2015 Q1 DRAM BU Q1 2015 Outline DRAM Market Overview DRAM Market Information DRAM Price Trends DRAM Price Record DDR3 2GB 256Mx8 1600MHz Big Issue - Internet of Things (IoT) Development

More information

Silicon-On-Glass MEMS. Design. Handbook

Silicon-On-Glass MEMS. Design. Handbook Silicon-On-Glass MEMS Design Handbook A Process Module for a Multi-User Service Program A Michigan Nanofabrication Facility process at the University of Michigan March 2007 TABLE OF CONTENTS Chapter 1...

More information

3D IC Design and CAD Challenges

3D IC Design and CAD Challenges 3D IC Design and CAD Challenges Ruchir Puri IBM T J Watson Research Center Yorktown Heights, NY 10598 Precedent for 3D Integration: When Real Estate Becomes Pricey 1900 Vertical Integration isn t new!

More information

Kingston Technology. Server Architecture and Kingston Memory Solutions. May 2015. Ingram Micro. Mike Mohney Senior Technology Manager, TRG

Kingston Technology. Server Architecture and Kingston Memory Solutions. May 2015. Ingram Micro. Mike Mohney Senior Technology Manager, TRG Kingston Technology Server Architecture and Kingston Memory Solutions Ingram Micro May 2015 Mike Mohney Senior Technology Manager, TRG 2015 Kingston Technology Corporation. All rights reserved. All trademarks

More information

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm

STMicroelectronics. Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI. SOI Processes 130nm, 65nm. SiGe 130nm STMicroelectronics Deep Sub-Micron Processes 130nm, 65 nm, 40nm, 28nm CMOS, 28nm FDSOI SOI Processes 130nm, 65nm SiGe 130nm CMP Process Portfolio from ST Moore s Law 130nm CMOS : HCMOS9GP More than Moore

More information

New Ferroelectric Material for Embedded FRAM LSIs

New Ferroelectric Material for Embedded FRAM LSIs New Ferroelectric Material for Embedded FRAM LSIs V Kenji Maruyama V Masao Kondo V Sushil K. Singh V Hiroshi Ishiwara (Manuscript received April 5, 2007) The strong growth of information network infrastructures

More information

Semiconductor Memories

Semiconductor Memories Semiconductor Memories Semiconductor memories array capable of storing large quantities of digital information are essential to all digital systems Maximum realizable data storage capacity of a single

More information

FPGA Design From Scratch It all started more than 40 years ago

FPGA Design From Scratch It all started more than 40 years ago FPGA Design From Scratch It all started more than 40 years ago Presented at FPGA Forum in Trondheim 14-15 February 2012 Sven-Åke Andersson Realtime Embedded 1 Agenda Moore s Law Processor, Memory and Computer

More information

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.

Introduction to VLSI Programming. TU/e course 2IN30. Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat. Introduction to VLSI Programming TU/e course 2IN30 Prof.dr.ir. Kees van Berkel Dr. Johan Lukkien [Dr.ir. Ad Peeters, Philips Nat.Lab] Introduction to VLSI Programming Goals Create silicon (CMOS) awareness

More information

Samsung DDR4 SDRAM DDR4 SDRAM

Samsung DDR4 SDRAM DDR4 SDRAM Samsung DDR4 SDRAM The new generation of high-performance, power-efficient memory that delivers greater reliability for enterprise applications DDR4 SDRAM An optimized memory for enterprise-level workloads

More information

Unternehmerseminar WS 2009 / 2010

Unternehmerseminar WS 2009 / 2010 Unternehmerseminar WS 2009 / 2010 Fachbereich: Maschinenbau und Mechatronik Autor / Thema / Titel: Key Enabling Technology Business Planning Process: Product Roadmaps 1 Table of Contents About AIXTRON

More information

Memory Basics. SRAM/DRAM Basics

Memory Basics. SRAM/DRAM Basics Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

From physics to products

From physics to products From physics to products From MRAM to MLU and beyond memory Magnetic Random Access Memory Magnetic Logic Unit Lucien Lombard Crocus-Technology Overview 1 - The semiconductor industry 2 - Crocus-Technology

More information

Embedding components within PCB substrates

Embedding components within PCB substrates Embedding components within PCB substrates Max Clemons, Altium - March 19, 2014 Continued pressure for electronic devices that provide greater functionality in ever-smaller formfactors is not only providing

More information

Class 18: Memories-DRAMs

Class 18: Memories-DRAMs Topics: 1. Introduction 2. Advantages and Disadvantages of DRAMs 3. Evolution of DRAMs 4. Evolution of DRAMs 5. Basics of DRAMs 6. Basics of DRAMs 7. Write Operation 8. SA-Normal Operation 9. SA-Read Operation

More information

Why Hybrid Storage Strategies Give the Best Bang for the Buck

Why Hybrid Storage Strategies Give the Best Bang for the Buck JANUARY 28, 2014, SAN JOSE, CA Tom Coughlin, Coughlin Associates & Jim Handy, Objective Analysis PRESENTATION TITLE GOES HERE Why Hybrid Storage Strategies Give the Best Bang for the Buck 1 Outline Different

More information

Seeking Opportunities for Hardware Acceleration in Big Data Analytics

Seeking Opportunities for Hardware Acceleration in Big Data Analytics Seeking Opportunities for Hardware Acceleration in Big Data Analytics Paul Chow High-Performance Reconfigurable Computing Group Department of Electrical and Computer Engineering University of Toronto Who

More information

5V Tolerance Techniques for CoolRunner-II Devices

5V Tolerance Techniques for CoolRunner-II Devices Application Note: Coolunner-II CPLDs XAPP429 (v1.0) August 8, 2003 5V Tolerance Techniques for Summary This document describes several different methods for interfacing 5V signals to Coolunner - II devices.

More information

VLSI Design Verification and Testing

VLSI Design Verification and Testing VLSI Design Verification and Testing Instructor Chintan Patel (Contact using email: cpatel2@cs.umbc.edu). Text Michael L. Bushnell and Vishwani D. Agrawal, Essentials of Electronic Testing, for Digital,

More information

DDR Memory Overview, Development Cycle, and Challenges

DDR Memory Overview, Development Cycle, and Challenges DDR Memory Overview, Development Cycle, and Challenges Tutorial DDR Overview Memory is everywhere not just in servers, workstations and desktops, but also embedded in consumer electronics, automobiles

More information

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc.

Mass production, R&D Failure analysis. Fault site pin-pointing (EM, OBIRCH, FIB, etc. ) Bottleneck Physical science analysis (SEM, TEM, Auger, etc. Failure Analysis System for Submicron Semiconductor Devices 68 Failure Analysis System for Submicron Semiconductor Devices Munetoshi Fukui Yasuhiro Mitsui, Ph. D. Yasuhiko Nara Fumiko Yano, Ph. D. Takashi

More information

Choosing the Right NAND Flash Memory Technology

Choosing the Right NAND Flash Memory Technology Choosing the Right NAND Flash Memory Technology A Basic Introduction to NAND Flash Offerings Dean Klein Vice President of System Memory Development Micron Technology, Inc. Executive Summary A 75% increase

More information

ThinkServer PC3-10600 DDR3 1333MHz UDIMM and RDIMM PC3-8500 DDR3 1066MHz RDIMM options for the next generation of ThinkServer systems TS200 and RS210

ThinkServer PC3-10600 DDR3 1333MHz UDIMM and RDIMM PC3-8500 DDR3 1066MHz RDIMM options for the next generation of ThinkServer systems TS200 and RS210 Hardware Announcement ZG09-0894, dated vember 24, 2009 ThinkServer PC3-10600 DDR3 1333MHz UDIMM and RDIMM PC3-8500 DDR3 1066MHz RDIMM options for the next generation of ThinkServer systems TS200 and RS210

More information

Alpha CPU and Clock Design Evolution

Alpha CPU and Clock Design Evolution Alpha CPU and Clock Design Evolution This lecture uses two papers that discuss the evolution of the Alpha CPU and clocking strategy over three CPU generations Gronowski, Paul E., et.al., High Performance

More information

Chapter 1 Computer System Overview

Chapter 1 Computer System Overview Operating Systems: Internals and Design Principles Chapter 1 Computer System Overview Eighth Edition By William Stallings Operating System Exploits the hardware resources of one or more processors Provides

More information

Version 3.0 Technical Brief

Version 3.0 Technical Brief Version 3.0 Technical Brief Release 1.0 May 15, 2008 Document Change History Ver Date Resp Reason for change 1 May 15, 2008 GG, LB, AT Initial revision. ii Introduction The Mobile PCI Express Module (MXM)

More information

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop

State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Photos placed in horizontal position with even amount of white space between photos and header State-of-Art (SoA) System-on-Chip (SoC) Design HPC SoC Workshop Michael Holmes Manager, Mixed Signal ASIC/SoC

More information

Technical Product Specifications Dell Dimension 2400 Created by: Scott Puckett

Technical Product Specifications Dell Dimension 2400 Created by: Scott Puckett Technical Product Specifications Dell Dimension 2400 Created by: Scott Puckett Page 1 of 11 Table of Contents Technical Product Specifications Model 3 PC Technical Diagrams Front Exterior Specifications

More information

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE

CIRCUITS AND SYSTEMS- Assembly and Printed Circuit Board (PCB) Package Mohammad S. Sharawi ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE ASSEMBLY AND PRINTED CIRCUIT BOARD (PCB) PACKAGE Mohammad S. Sharawi Electrical Engineering Department, King Fahd University of Petroleum and Minerals Dhahran, 31261 Saudi Arabia Keywords: Printed Circuit

More information

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package

Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Core Power Delivery Network Analysis of Core and Coreless Substrates in a Multilayer Organic Buildup Package Ozgur Misman, Mike DeVita, Nozad Karim, Amkor Technology, AZ, USA 1900 S. Price Rd, Chandler,

More information

Server: Performance Benchmark. Memory channels, frequency and performance

Server: Performance Benchmark. Memory channels, frequency and performance KINGSTON.COM Best Practices Server: Performance Benchmark Memory channels, frequency and performance Although most people don t realize it, the world runs on many different types of databases, all of which

More information

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs

StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs White Paper StarRC Custom: Next-Generation Modeling and Extraction Solution for Custom IC Designs May 2010 Krishnakumar Sundaresan Principal Engineer and CAE Manager, Synopsys Inc Executive Summary IC

More information

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware

A+ Guide to Managing and Maintaining Your PC, 7e. Chapter 1 Introducing Hardware A+ Guide to Managing and Maintaining Your PC, 7e Chapter 1 Introducing Hardware Objectives Learn that a computer requires both hardware and software to work Learn about the many different hardware components

More information

Intel PCI and PCI Express*

Intel PCI and PCI Express* Intel PCI and PCI Express* PCI Express* keeps in step with an evolving industry The technology vision for PCI and PCI Express* From the first Peripheral Component Interconnect (PCI) specification through

More information

DDR SDRAM SODIMM. MT9VDDT1672H 128MB 1 MT9VDDT3272H 256MB MT9VDDT6472H 512MB For component data sheets, refer to Micron s Web site: www.micron.

DDR SDRAM SODIMM. MT9VDDT1672H 128MB 1 MT9VDDT3272H 256MB MT9VDDT6472H 512MB For component data sheets, refer to Micron s Web site: www.micron. Features DDR SDRAM SODIMM MT9VDDT1672H 128MB 1 MT9VDDT3272H 256MB MT9VDDT6472H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features 200-pin, small-outline dual in-line memory

More information

RAM. Overview DRAM. What RAM means? DRAM

RAM. Overview DRAM. What RAM means? DRAM Overview RAM In this chapter, you will learn how to Identify the different types of RAM packaging Explain the varieties of DRAM Install RAM properly Perform basic RAM troubleshooting Program Execution

More information

OBJECTIVE ANALYSIS WHITE PAPER MATCH FLASH. TO THE PROCESSOR Why Multithreading Requires Parallelized Flash ATCHING

OBJECTIVE ANALYSIS WHITE PAPER MATCH FLASH. TO THE PROCESSOR Why Multithreading Requires Parallelized Flash ATCHING OBJECTIVE ANALYSIS WHITE PAPER MATCH ATCHING FLASH TO THE PROCESSOR Why Multithreading Requires Parallelized Flash T he computing community is at an important juncture: flash memory is now generally accepted

More information

High Speed Memory Interface Chipsets Let Server Performance Fly

High Speed Memory Interface Chipsets Let Server Performance Fly WHITE PAPER Sponsored by High Speed Memory Interface Chipsets Let Server Performance Fly SUMMARY The demands on server performance continue to increase at a tremendous pace. New requirements from large

More information

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design

University of Texas at Dallas. Department of Electrical Engineering. EEDG 6306 - Application Specific Integrated Circuit Design University of Texas at Dallas Department of Electrical Engineering EEDG 6306 - Application Specific Integrated Circuit Design Synopsys Tools Tutorial By Zhaori Bi Minghua Li Fall 2014 Table of Contents

More information

Samsung 2bit 3D V-NAND technology

Samsung 2bit 3D V-NAND technology Samsung 2bit 3D V-NAND technology Gain more capacity, speed, endurance and power efficiency Traditional NAND technology cannot keep pace with growing data demands Introduction Data traffic continues to

More information

Sequential 4-bit Adder Design Report

Sequential 4-bit Adder Design Report UNIVERSITY OF WATERLOO Faculty of Engineering E&CE 438: Digital Integrated Circuits Sequential 4-bit Adder Design Report Prepared by: Ian Hung (ixxxxxx), 99XXXXXX Annette Lo (axxxxxx), 99XXXXXX Pamela

More information

IOS110. Virtualization 5/27/2014 1

IOS110. Virtualization 5/27/2014 1 IOS110 Virtualization 5/27/2014 1 Agenda What is Virtualization? Types of Virtualization. Advantages and Disadvantages. Virtualization software Hyper V What is Virtualization? Virtualization Refers to

More information